• Title/Summary/Keyword: W-C-W thin film

Search Result 601, Processing Time 0.031 seconds

적외선 센서용 VOx/ZnO/VOx 박막 증착 및 특성 연구

  • Han, Myeong-Su;Mun, Su-Bin;Han, Seok-Man;Sin, Jae-Cheol;Kim, Hyo-Jin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.236-236
    • /
    • 2013
  • 비냉각 적외선 검출기는 산업용 군사용으로 최근 각광을 받고 있다. 이는 주야간 빛이 없는 곳에서도 사물의 열을 감지할 수 있어 인체감지 및 보안감시, 에너지 절감 등에 응용될 수 있는 핵심부품이다. 비냉각 적외선 검출기로는 재료의 저항의 변화를 감지하는 마이크로볼로미터형이 가장 많이 사용된다. 감지재료로는 비정질 실리콘(a-Si)과 산화바나듐(VOx)이 가장 많이 사용된다. VOx 박막은 일반적으로 RF sputtering 방법으로 증착이 되며, 저항이 낮고, 저항의 온도변화 계수(TCR)가 크며 신호 대 잡음 특성이 우수한 반면 산소(oxygen) phase가 다양하여 갓 증착된 상태의 박막은 재현성이 떨어지는 단점이 있다. 본 연구에서는 기존의 V 타겟을 사용한 VOx 박막을 증착하는 방법을 개선하여 ZnO 나노박막을 중간에 삽입하여 저항 특성을 조절할 뿐만 아니라 열처리에 의해 TCR 값을 향상시키고, VO2 phase 가 주로 나타나는 박막 증착 및 공정 방법을 소개한다. RF sputtering 장비를 이용하여 산소와 아르곤 가스의 혼합비를 4.5로 하였으며, VOx 증착 시 플라즈마 Power는 150 W 로 하여 상온에서 증착하였다. 갓 증착된 VOx 다층박막의 XRD 스펙트럼은 V2O5 피크가 주된 상을 이루고 있었으며, 산소열처리에 의해 VO2 상이 주로 나타남을 알 수 있었다. TCR 값은 갓 증착된 샘플에서 -0.13%/K의 값을 얻었으며, $300^{\circ}C$에서 50분간 열처리 후 -3.37%/K 으로 급격히 향상됨을 알 수 있었다. 저항은 열처리 후 약 100 kohm으로 낮아져 검출소자를 위한 조건에 적합한 특성을 얻을 수 있었다. 또한 산소열처리의 온도 및 시간에 따라 TCR 및 표면 거칠기 특성을 조사하였으며, 최적의 열처리 조건을 얻고자 하였다.

  • PDF

The Fabrication of Poly-Si Solar Cells for Low Cost Power Utillity (저가 지상전력을 위한 다결정 실리콘 태양전지 제작)

  • Kim, S.S.;Lim, D.G.;Shim, K.S.;Lee, J.H.;Kim, H.W.;Yi, J.
    • Solar Energy
    • /
    • v.17 no.4
    • /
    • pp.3-11
    • /
    • 1997
  • Because grain boundaries in polycrystalline silicon act as potential barriers and recombination centers for the photo-generated charge carriers, these defects degrade conversion effiency of solar cell. To reduce these effects of grain boundaries, we investigated various influencing factors such as thermal treatment, various grid pattern, selective wet etching for grain boundaries, buried contact metallization along grain boundaries, grid on metallic thin film. Pretreatment above $900^{\circ}C$ in $N_2$ atmosphere, gettering by $POCl_3$ and Al treatment for back surface field contributed to obtain a high quality poly-Si. To prevent carrier losses at the grain boundaries, we carried out surface treatment using Schimmel etchant. This etchant delineated grain boundaries of $10{\mu}m$ depth as well as surface texturing effect. A metal AI diffusion into grain boundaries on rear side reduced back surface recombination effects at grain boundaries. A combination of fine grid with finger spacing of 0.4mm and buried electrode along grain boundaries improved short circuit current density of solar cell. A ultra-thin Chromium layer of 20nm with transmittance of 80% reduced series resistance. This paper focused on the grain boundary effect for terrestrial applications of solar cells with low cost, large area, and high efficiency.

  • PDF

Sputtering Yield and Secondary Electron Emission Coefficient(${\gamma}$) of the MgO, $MgAl_2O_4$ and $MgAl_2O_4/MgO$ Thin Film Grown on the Cu Substrate by Using the Focused Ion Beam (Cu 기판위에 성장한 MgO, $MgAl_2O_4$$MgAl_2O_4/MgO$ 박막의 집속이온빔을 이용한 스퍼터링수율 측정과 이차전자방출계수 측정)

  • Jung K.W.;Lee H.J.;Jung W.H.;Oh H.J.;Park C.W.;Choi E.H.;Seo Y.H.;Kang S.O.
    • Journal of the Korean Vacuum Society
    • /
    • v.15 no.4
    • /
    • pp.395-403
    • /
    • 2006
  • It is known that $MgAl_2O_4$ has higher resistance to moisture than MgO, in humid ambient MgO is chemically unstable. It reacts very easily with moisture in the air. In this study, the characteristic of $MgAl_2O_4$ and $MgAl_2O_4/MgO$ layers as dielectric protection layers for AC- PDP (Plasma Display Panel) have been investigated and analysed in comparison for conventional MgO layers. MgO and $MgAl_2O_4$ films both with a thickness of $1000\AA$ and $MgAl_2O_4/MgO$ film with a thickness of $200/800\AA$ were grown on the Cu substrates using the electron beam evaporation. $1000\AA$ thick aluminium layers were deposited on the protective layers in order to avoid the charging effect of $Ga^+$ ion beam while the focused ion beam(FIB) is being used. We obtained sputtering yieds for the MgO, $MgAl_2O_4$ and $MgAl_2O_4/MgO$ films using the FIB system. $MgAl_2O_4/MgO$ protective layers have been found th show $24{\sim}30%$ lower sputtering yield values from 0.244 up to 0.357 than MgO layers with the values from 0.364 up to 0.449 for irradiated $Ga^+$ ion beam with energies ranged from 10 kV to 14 kV. And $MgAl_2O_4$ layers have been found to show lowest sputtering yield values from 0.88 up to 0.109. Secondary electron emission coefficient(g) using the ${\gamma}$- FIB. $MgAl_2O_4/MgO$ and MgO have been found to have similar g values from 0.09 up to 0.12 for indicated $Ne^+$ ion with energies ranged from 50 V to 200 V. Observed images for the surfaces of MgO and $MgAl_2O_4/MgO$ protective layers, after discharge degradation process for 72 hours by SEM and AFM. It is found that $MgAl_2O_4/MgO$ protective layer has superior hardness and degradation resistance properties to MgO protective layer.

Effect of microwave power on aging dynamics of solution-processed InGaZnO thin-film transistors

  • Kim, Gyeong-Jun;Jo, Won-Ju
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.256-256
    • /
    • 2016
  • 기존의 디스플레이 기슬은 마스크를 통해 특정 부분에만 유기재료를 증착시키는 방법을 사용하였으나, 기판의 크기가 커짐에 따라 공정조건에 제약이 발생하였다. 이를 해결하기 위해 최근 용액 공정에 대한 연구가 활발히 진행되고 있다. 용액 공정은 기존 진공 증착 방식과 비교하였을 때 상온, 대기압에서 증착이 가능하며 경제적이고, 대면적 균일 증착에 유리하다는 장점이 있다. 반면, 용액 공정으로 제작한 소자는 시간이 지남에 따라 점차 전기적 특성이 변하는 aging effect를 보인다. Aging effect는 용액에 포함된 C기와 OH기 기반의 불순물의 영향으로 시간의 경과에 따라서 문턱전압, subthreshold swing 및 mobility 등의 전기적 특성이 변하는 현상으로 고품질의 박막을 형성하기 위해서는 고온의 열처리가 필요하다. 지금까지 고품질 박막 형성을 위한 열처리는 퍼니스 (furnace) 장비에서 주로 이루어졌는데, 시간이 오래 걸리고, 상대적으로 고온 공정이기 때문에 유리, 종이, 플라스틱과 같은 다양한 기판에 적용하기 어렵다는 단점이 있다. 따라서, 본 연구에서는 $100^{\circ}C$ 이하의 저온에서도 열처리가 가능한 microwave irradiation (MWI) 방법을 이용하여 solution-processed InGaZnO TFT를 제작하였고, 기존의 열처리 방식인 furnace로 열처리한 TFT 소자와 aging effect를 비교하였다. 먼저, solution-processed IGZO TFT를 제작하기 위해 p type Si 기판을 열산화시켜서 100 nm의 SiO2 게이트 산화막을 성장시켰고, 스핀코팅 방법으로 a-IGZO 채널층을 형성하였다. 증착후 열처리를 위하여 1000 W의 마이크로웨이브 출력으로 15분간 MWI를 실시하여 a-IGZO TFT를 제작하였고, 비교를 위하여 furnace N2 gas 분위기에서 $600^{\circ}C$로 30분간 열처리한 TFT를 준비하였다. 제작된 직후의 TFT 특성을 평가한 결과, MWI 열처리한 소자가 퍼니스 열처리한 소자보다 높은 이동도, 낮은 subthreshold swing (SS)과 히스테리시스 전압을 가지는 것을 확인하였다. 한편, aging effect를 평가하기 위하여 제작 후에 30일 동안의 특성변화를 측정한 결과, MWI 열처리 소자는 30일 동안 문턱치 전압(VTH)의 변화량 ${\Delta}VTH=3.18[V]$ 변화되었지만, furnace 열처리 소자는 ${\Delta}VTH=8.56[V]$로 큰 변화가 있었다. 다음으로 SS의 변화량은 MWI 열처리 소자가 ${\Delta}SS=106.85[mV/dec]$인 반면에 퍼니스 열처리 소자는 ${\Delta}SS=299.2[mV/dec]$이었다. 그리고 전하 트래핑에 의해서 발생하는 게이트 히스테리시스 전압의 변화량은 MWI 열처리 소자에서 ${\Delta}V=0.5[V]$이었지만, 퍼니스 열처리 소자에서 ${\Delta}V=5.8[V]$의 큰 수치를 보였다. 결과적으로 MWI 열처리 방식이 퍼니스 열처리 방식보다 소자의 성능이 우수할 뿐만 아니라 aging effect가 개선된 것을 확인할 수 있었고 차세대 디스플레이 공정에 있어서 전기적, 화학적 특성을 개선하는데 기여할 것으로 기대된다.

  • PDF

PECVD와 고상결정화 방법을 이용한 poly-SiGe 박막의 제조

  • 이정근;이재진
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1998.02a
    • /
    • pp.55.2-55
    • /
    • 1998
  • 다견정 심리판-거l르마늄(JXlly-SiGe)은 TFT(thin-film transistor)와 갇븐 소자 응용에 있어서 중요한 불칠이다 .. LPCVD (low pressure chemical vapor deposition) 방법으로 비정칠 SiGc (a-SiGe) 박막올 증 착시키고 고상결정화(SPC: solid-phase crystallization)시켜 poly-SiGc옹 얻는 것은 잘 알려져 있다. 그러 나 그러나 PF'||'&'||'pound;VD-SPC 방법올 이용한 poly-SiGc의 제조에 대해서는 아직 두드러지게 연구된 바 없다. 우리단 PF'||'&'||'pound;VD 방법으로 a-SiGc 박막올 증착시키고 고상캘정화시켜 poly-SiGc올 얻었 R며, :~ 결정성, G Gc 농도, 결정핍의 평끌 크기 눔올 XRD (x-ray diffraction) 방법으호 조사하였다. 특히 pr'||'&'||'pound;VD 증착시 가판온도,Gc 함유량 등이 고상화에 미치는 영향에 대해서 조사하였다. P PECVD 장치는 터보펌프콸 사용하여 71저진공이 2xlOlongleftarrow5 Torr에 이르렀다. 가판윤 SiOOO) 웨이퍼륜 사용하고 기판 온도는 약 150- 35()"C 사이에서 변화되었다. 증착가스는 SiH4, GcH4, 112 등흘 썼다. 증착 압력과 r.f 전력용 각각 O.25ToIT와 3W로 일정하게 하였다 .. Gc 함유량(x)은 x x=O.O-O.5 사이에서 변화되었다 .. PECVD모 증착된 SiGc 박막들은 고상결정화를 위해 $\theta$X)"(:: Nz 분위기에서 24시간동안, 혹은 5OO'C에서 4열간 가열되었다. 고상결정화 후 poly-SiGc 박막은 SiGc(Ill), (220), (311) XRD 피크들올 보여주었으며, 각 피 크들은 poly-Si에 비하여 왼쪽으로 Bragg 각이 이동되었고, Vegard’slaw에 의해서 x의 값올 확 인할 수 있었다. 이것온 RBS 결과와 열치하였다. 약 150-350'C 사이에서 변화된 기판온도의 범위 에서 증착온도가 낮올수콕 견정립의 크기는 대체로 증가하는 것으로 나타났다 .. XHD로 추정된 형 균 결정립의 크기는 최대 약 3$\alpha$1m 정도였다. 또한 같끈 샘플뜰에 대해서 기판온도가 낮올수록 증착속도가 증가함옴 확인하였다 .. Gc 함유량이 x=O.1에서 x=O.5로 증가함에 따라서도 결정립의 크기와 SiGc 증착속도는 증가하는 것으로 나타났다 .. Hwang [1] , Kim[2] 둥의 연구자들은 Gc 함유 량이 증가함에 따라 결정 립 크기가 캄소하는 것올 보고하였으냐, Tsai [3] 둥은 반대의 결과플 보 고하고 Ge 힘유량의 증가시 결정립 크기의 증가에 대해 Gc의 Si보다 낮은 융점 (melting point) 올 강조한 바 있다. 결정립 크기의 증가는 대체로 SiGe 중착속도의 증가와도 관련이 있음올 볼 때, poly-SiGc의 경우에도 polv-Si의 고상화에서와 같이 증착속도가 빠를수록 최종적언 결정럽의 크기가 커지는 것으로 이해될 수도 있다 .. PECVD 증착시 증착속도의 증가는 증착된 박딱에서의 무켈서도를 증 가시킬 수 있음올 고려하면, 이라한 결파플온 p이y-SiGc의 고상결정화에서도 ploy-Si의 고상결정 화에서와 마찬가지로 초기 박막에서의 구조직 무절서도가 클수록, 고상결정화 후 결정 립의 크기 가 커칠 수 있음올 보여준다고 생각휠 수 있다,

  • PDF

CMOS 소자 응용을 위한 Plasma doping과 Silicide 형성

  • Choe, Jang-Hun;Do, Seung-U;Seo, Yeong-Ho;Lee, Yong-Hyeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.456-456
    • /
    • 2010
  • CMOS 소자가 서브마이크론($0.1\;{\mu}m$) 이하로 스케일다운 되면서 단채널 효과(short channel effect), 게이트 산화막(gate oxide)의 누설전류(leakage current)의 증가와 높은 직렬저항(series resistance) 등의 문제가 발생한다. CMOS 소자의 구동전류(drive current)를 높이고, 단채널 효과를 줄이기 위한 가장 효율적인 방법은 소스 및 드레인의 얕은 접합(shallow junction) 형성과 직렬 저항을 줄이는 것이다. 플라즈마 도핑 방법은 플라즈마 밀도 컨트롤, 주입 바이어스 전압 조절 등을 통해 저 에너지 이온주입법보다 기판 손상 및 표면 결함의 생성을 억제하면서 고농도로 얕은 접합을 형성할 수 있다. 그리고 얕은 접합을 형성하기 위해 주입된 불순물의 활성화와 확산을 위해 후속 열처리 공정은 높은 온도에서 짧은 시간 열처리하여 불순물 물질의 활성화를 높여주면서 열처리로 인한 접합 깊이를 얕게 해야 한다. 그러나 접합의 깊이가 줄어듦에 따라서 소스 및 드레인의 표면 저항(sheet resistance)과 접촉저항(contact resistance)이 급격하게 증가하는 문제점이 있다. 이러한 표면저항과 접촉저항을 줄이기 위한 방안으로 실리사이드 박막(silicide thin film)을 형성하는 방법이 사용되고 있다. 본 논문에서는 (100) p-type 웨이퍼 He(90 %) 가스로 희석된 $PH_3$(10 %) 가스를 사용하여 플라즈마 도핑을 실시하였다. 10 mTorr의 압력에서 200 W RF 파워를 인가하여 플라즈마를 생성하였고 도핑은 바이어스 전압 -1 kV에서 60 초 동안 실시하였다. 얕은 접합을 형성하기 위한 불순물의 활성화는 ArF(193 nm) excimer laser를 통해 $460\;mJ/cm^2$의 에니지로 열처리를 실시하였다. 그리고 낮은 접촉비저항과 표면저항을 얻기 위해 metal sputter를 통해 TiN/Ti를 $800/400\;{\AA}$ 증착하고 metal RTP를 사용하여 실리사이드 형성 온도를 $650{\sim}800^{\circ}C$까지 60 초 동안 열처리를 실시하여 $TiSi_2$ 박막을 형성하였다. 그리고 $TiSi_2$의 두께를 측정하기 위해 TEM(Transmission Electron Microscopy)을 측정하였다. 화학적 결합상태를 분석하기 위해 XPS(X-ray photoelectronic)와 XRD(X-ray diffraction)를 측정하였다. 접촉비저항, 접촉저항과 표면저항을 분석하기 위해 TLM(Transfer Length Method) 패턴을 제작하여 I-V 특성을 측정하였다. TEM 측정결과 $TiSi_2$의 두께는 약 $580{\AA}$ 정도이고 morphology는 안정적이고 실리사이드 집괴 현상은 발견되지 않았다. XPS와 XRD 분석결과 실리사이드 형성 온도가 $700^{\circ}C$에서 C54 형태의 $TiSi_2$ 박막이 형성되었고 가장 낮은 접촉비저항과 접촉저항 값을 가진다.

  • PDF

A Study on the Effect of O$_2$ annealing on Structural, Optical, and Electrical Characteristics of Undoped ZnO Thin Films Deposited by Magnetron Sputtering (산소 어닐링이 마그네 트론 스퍼터링으로 증착된 undoped ZnO박막의 구조적, 광학적, 전기적 특성에 미치는 영향에 대한 연구)

  • Yun, Eui-Jung;Park, Hyeong-Sik
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.46 no.7
    • /
    • pp.7-14
    • /
    • 2009
  • In this paper, the effects of annealing conditions on the structural ((002) intensity, FWHM, d-spacing, grain size, (002) peak position), optical (UV peak, UV peak position) and electrical properties (carrier concentrations, resistivity, mobility) of ZnO films were investigated. ZnO films were deposited onto SiO$_2$/si substrates by RF magnetron sputtering from a ZnO target. The substrate was not heated during deposition. ZnO films were annealed in temperature ranges of $500\sim650^{\circ}C$ in the O$_2$ flow for 5$\sim$20 min. The film average thicknesses were in the range of 291 nm. The surface morphologies and structures of the samples were characterize by SEM and XRD, respectively. The optical properties were evaluated by photoluminescence (PL) measurement at room temperature (RT) using a He-Cd 325 nm laser. As the annealing temperature and time vary, the following relations were also observed: (1) proportional relationships among UV intensity (002) intensity, and grain size exist, (2) UV intensity is inversely proportional to FWHM, (3) there is no special relationship between UV intensity and electron carrier concentrations, (4) d-spacing is inversely proportional to (002) peak position, (5) UV peak position in the range of 3.20$\sim$3.24 eV means that ZnO films have a n-type conductivity which was consistent with that obtained from the electrical property, (6) the optimal conditions for the best optical and structural characteristics were found to be oxygen fraction, (O$_2$/(O$_2$+Ar)) of 0.2, RF power of 240W, substrate temperature of RT, annealing condition of 600$^{\circ}C$ for 20 min, and sputtering pressure of 20 mTorr.

Study of etching properties of the $HfAlO_3$ thin film using the inductively coupled plasma (유도결합 플라즈마를 이용한 $HfAlO_3$ 박막의 식각특성 연구)

  • Ha, Tae-Kyung;Kim, Dong-Pyo;Woo, Jong-Chang;Um, Doo-Seung;Yang, Xue;Joo, Young-Hee;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.73-73
    • /
    • 2009
  • 트렌지스터의 채널 길이가 줄어듦에 따라 절연층으로 쓰이는 $SiO_2$의 두께는 얇아져야 한다. 이에 따라 얇아진 절연층에서 터널링이 발생하여 누설전류가 증가하게 되어 소자의 오동작을 유발한다. 절연층에서의 터널링을 줄여주기 위해서는 High-K와 같은 유전율이 높은 물질을 이용하여 절연층의 두께를 높여주어야 한다. 최근에 각광 받고 있는 High-K의 대표적인 물질은 $HfO_2$, $ZrO_2$$Al_2O_3$등이 있다. $HfO_2$, $ZrO_2$$Al_2O_3$$SiO_2$보다 유전상 수는 높지만 밴드갭 에너지, 열역학적 안정성, 재결정 온도와 같은 특성 면에서 $SiO_2$를 완전히 대체하기는 어려운 실정이다. 최근 연구에 따르면 기존의 High-K물질에 금속을 첨가한 금속산화물의 경우 밴드갭 에너지, 열역학적 안정성, 재결정 온도의 특성이 향상되었다는 결과가 있다. 이 금속 산화물 중 $HfAlO_3$가 대표적이다. $HfAlO_3$는 유전상수 18.2, 밴드캡 에너지 6.5 eV, 재결정 온도 $900\;^{\circ}C$이고 열역학적 안전성이 개선되었다. 게이트 절연층으로 사용될 수 있는 $HfAlO_3$는 전극과 기판사이에 적층구조를 이루고 있어, 이방성 식각인 건식 식각에 대한 연구가 필요하다. 본 연구는 $BCl_3$/Ar 유도결합 플라즈마를 이용하여 $HfAlO_3$ 박막의 식각 특성을 알아보았다. RF Power 700 W, DC-bias -150 V, 공정압력 15 mTorr, 기판온도 $40\;^{\circ}C$를 기본 조건으로 하여, $BCl_3$/Ar 가스비율, RF Power, DC-bias 전압, 공정압력에 의한 식각율 조건과 마스크물질과의 선택비를 알아보았다. 플라즈마 분석은 Optical 이용하여 진행하였고, 식각 후 표면의 화학적 구조는 X-ray Photoelectron Spectroscoopy(XPS) 분석을 통하여 알아보았다.

  • PDF

Planarization of SUS310 Metal Substrate Used for Coated Conductor Substrate by Chemical Solution Coating Method (화학적인 용액 코팅방법에 의한 박막형 고온초전도체에 사용되는 SUS310 금속모재의 평탄화 연구)

  • Lee, J.B.;Lee, H.J.;Kim, B.J.;Kwon, B.K.;Kim, S.J.;Lee, J.S.;Lee, C.Y.;Moon, S.H.;Lee, H.G.;Hong, G.W.
    • Progress in Superconductivity
    • /
    • v.12 no.2
    • /
    • pp.118-123
    • /
    • 2011
  • The properties of $2^{nd}$ generation high temperature superconducting wire, coated conductor strongly depend on the quality of superconducting oxide layer and property of metal substrate is one of the most important factors affecting the quality of coated conductor. Good mechanical and chemical stability at high temperature are required to maintain the initial integrity during the various process steps required to deposit several layers consisting coated conductor. And substrate need to be nonmagnetic to reduce magnetization loss for ac application. Hastelloy and stainless steel are the most suitable alloys for metal substrate. One of the obstacles in using stainless steel as substrate for coated conductor is its difficulties in making smooth surface inevitable for depositing good IBAD layer. Conventional method involves several steps such as electro polishing, deposition of $Al_2O_3$ and $Y_2O_3$ before IBAD process. Chemical solution deposition method can simplify those steps into one step process having uniformity in large area. In this research, we tried to improve the surface roughness of stainless steel(SUS310). The precursor coating solution was synthesized by using yttrium complex. The viscosity of coating solution and heat treatment condition were optimized for smooth surface. A smooth amorphous $Y_2O_3$ thin film suitable for IBAD process was coated on SUS310 tape. The surface roughness was improved from 40nm to 1.8 nm by 4 coatings. The IBAD-MgO layer deposited on prepared substrate showed good in plane alignment(${\Delta}{\phi}$) of $6.2^{\circ}$.

Etching properties of $Na_{0.5}K_{0.5}NbO_2$ thin film using inductively coupled plasma (유도결합 플라즈마를 이용한 $Na_{0.5}K_{0.5}NbO_2$ 박막의 식각 특성)

  • Kim, Gwan-Ha;Kim, Kyoung-Tae;Kim, Jong-Gyu;Woo, Jong-Chang;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.116-116
    • /
    • 2007
  • 21 세기에 접어들면서 인터넷을 통한 정보 통신의 발달과 개인 휴대용 이동 통신기기의 활발한 보급에 따라 휴대형 전자기기들의 소형화와 고성능화로 나아가고 있다. 이러한 전자기기에 사용될 IC의 내장 메모리 또한 집적화 및 고속화, 저 전력화가 이루어져야 한다. 이러한 전자기기들에 필수적인 압전 세라믹스 부품 중 압전 부저 및 기타 음향 부품등을 각종 전자기기와 무선 전화기에 채택함으로써 압전 부품에 대한 수요와 생산이 계속 증가할 것으로 전망된다. 이처럼 압전 세라믹스를 이용한 그 응용 범위는 대단히 방대하며, 현재 모든 압전 부품들은 PZT 계열 재료로 만들어지고 있고, 차후 모두 비납계열 재료로 대체될 것이 확실시된다. Pb의 환경오염은 이미 오래전부터 큰 문제점으로 인식되고 있었으며 그 일례로 미국의 캘리포니아 주에서는 1986년부터 약 800종의 유해물질, 그 중에서도 Pb 사용을 300ppm 이하로 규제하는 Proposition 65를 제정하여 실행하고 있다. 그리고 2003년 2월에 EU (European Union) 에서 발표한 전자산업에 관한 규제 사항중 하나인 위험물질 사용에 관한 지칭 (Restriction of Hazardous Substance, RoHS) 에 의하면, 2006 년 7월부터 전기 전자 제품에 있어서 위험 물질인 Pb을 포함한 중금속 물질(카드늄, 수은, 6가 크롬, 브롬계 난연재)의 사용을 금지한다고 발표하였다. 비록 전자세라믹 부품에 함유된 Pb는 예외 사항으로 두었지만 대체 가능한 물질이 개발되면 전자세라믹 부품에서도 Pb의 사용을 금지한다고 규정하였다. 더욱이 일본은 2005 년부터 Pb 사용을 금지시켰다. 이와 같이 Pb가 환경에 미치는 영향 때문에 비납계 강유전 물질 및 압전 세라믹스 재료에 대한 연구가 전 세계적으로 활발히 진행되고 있다. 본 연구에서는 비납계 강유전체의 patterning을 위해서, NKN 박막을 고밀도 플라즈마원인 ICP를 이용하여 식각 mechanism을 연구하고, 식각변수에 따른 식각 공정을 최적화에 대하여 연구하였다. 가스 혼합비에 따라 식각 할때 700 W의 RF 전력과 - 150 V의 직류 바이어스 전압을 인가하였고, 공정 압력은 2 Pa, 기판 온도는 $23^{\circ}C$로 고정하였다. 식각 속도는 Tencor사의 Alpha-step 500을 이용하여 측정되었으며 식각 시 NKN 박막 표면과 라디칼과의 화학적인 반응을 분석하고 식각 메커니즘을 규명하기 위하여 XPS(x-ray photoelectron spectroscopy)를 사용하였다.

  • PDF