• 제목/요약/키워드: Thin Films

검색결과 9,530건 처리시간 0.034초

Hot Wall Epitaxy(HWE)법에 의한 BaIn2Se4 에피레어 성장과 가전자대 갈라짐에 대한 광전류 연구 (Photocurrent Study on the Splitting of the Valence Band and Growth of BaIn2Se4 epilayers by Hot Wall Epitaxy)

  • 정준우;이기정;정경아;홍광준
    • 센서학회지
    • /
    • 제23권2호
    • /
    • pp.134-141
    • /
    • 2014
  • A stoichiometric mixture of evaporating materials for $BaIn_2Se_4$ epilayers was prepared from horizontal electric furnace. To obtain the single crystal thin films, $BaIn_2Se_4$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the Hot Wall Epitaxy (HWE) system. The source and substrate temperatures were $620^{\circ}C$ and $400^{\circ}C$, respectively. The crystalline structure of the epilayers was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of $BaIn_2Se_4$ epilayers measured from Hall effect by van der Pauw method are $8.94{\times}10^{17}cm^{-3}$ and 343 $cm^2/vs$ at 293 K, respectively. The temperature dependence of the energy band gap of the $BaIn_2Se_4$ obtained from the absorption spectra was well described by the Varshni's relation, $E_g(T)$=2.6261 eV-$(4.9825{\times}10^{-3}eV/K)T^2/(T+558 K)$. The crystal field and the spin-orbit splitting energies for the valence band of the $BaIn_2Se_4$ have been estimated to be 116 meV and 175.9 meV, respectively, by means of the photocurrent spectra and the Hopfield quasicubic model. These results indicate that the splitting of the ${\Delta}so$ definitely exists in the ${\Gamma}_5$ states of the valence band of the $BaIn_2Se_4/GaAs$ epilayer. The three photocurrent peaks observed at 10 K are ascribed to the $A_1-$, $B_1$-exciton for n = 1 and $C_{21}$-exciton peaks for n=21.

CoFe/MnIr 박막 재료에서 MnIr의 두께에 따른 토오크 신호 분석 (MnIr Thickness Dependence of Torque Signals in CoFe/MnIr Thin Films)

  • 김동영;윤석수
    • 한국자기학회지
    • /
    • 제24권5호
    • /
    • pp.140-145
    • /
    • 2014
  • 본 연구에서는 교환 결합 특성을 갖는 CoFe/MnIr 박막 재료에서 MnIr의 두께에 따라 측정한 토오크 신호를 Stoner-Wohlfarth 모델로 계산한 결과와 비교 분석하였다. 모델 계산을 위하여 일축 이방성 상수 $K_F$$K_{AF}$를 각각 갖는 강자성(F)층과 반강자성 (AF)층 계면에 작용하는 교환 결합 상수 $J_c$를 고려하였다. 고정되지 않는 AF층에 의한 회전 손실은 $0.5t_c$ < $t_{AF}$ < $t_c$ ($=J_c/K_{AF}$)의 범위에서 나타나며, 고정된 AF층에 의한 일방 이방성 상수 $J_k$$t_{AF}$ > $t_c$에서 나타났다. CoFe/MnIr 재료에서 임계두께는 $t_c$ = 3.4 nm였으며, $t_{AF}$ = 3 nm의 시편에서 측정한 토오크 신호에서 보인 회전 손실은 AF 결정립의 용이축이 모든 방향으로 고르게 분포한 특성으로 설명되었다. $t_{AF}$ = 10 nm의 시편에서 측정된 토오크 신호에서 추출한 일방 이방성 상수는 $J_k=0.63J_c$의 관계를 보였다. 따라서, 일방 이방성 상수는 AF 결정립의 용이축을 한쪽 방향으로 정렬할 경우 $J_k=J_c$가 되어 약 37 %의 특성 향상을 기대할 수 있다.

Photovoltaic Characteristic of Thin Films Based on MEH-PPV/DFPP Blends

  • 문지선;김수현;이재우;이석;김선호;김동영;최혜영;윤성철;이창진;김유진;이긍원;변영태
    • 한국광학회:학술대회논문집
    • /
    • 한국광학회 2005년도 하계학술발표회
    • /
    • pp.28-29
    • /
    • 2005
  • 본 논문에서는 MEH-PPV와 DFPP의 폴리머 물질을 이용하여 photovoltaic device가 제작되었고, 그림 1에 두 물질의 분자 구조가 보여진다. Photovoltaic cell의 전기-광학적 특성은 활성층의 폴리머 물질에 의해 결정된다. 이러한 특성을 알아보기 위해서 홉수 스펙트럼이 측정되었다. DFPP는 chloroform, chlorobenzen, THF, acetone에 잘 녹았으며, 본 논문에서는 chloroform이 용매로 사용되었다. 제작 공정은 다음과 같다. 인듐 주석 산화물 (ITO)이 증착된 유리기판은 photolithography 공정을 거친 후, 왕수(HNO$_{3}$ + HCL)로 식각됨으로서 전극의 패턴이 제작되었다. 그리고 ITO 전극 패턴 된 유리기판 위에 PEDOT (CH8000, Baytron)이 코팅된 후 Ar이 주입되는 Convection Oven을 이용하여 120$^{\circ}$C에서 2시간 동안 열처리되어 수분이 제거되었다. 활성층에는 MEH-PPV와 DFPP가 9:1과 2.33:1로 혼합된 폴리머가 사용되었고, 이것은 0.3 %w.t.가 되도록 chloroform에 넣어 5시간 동안 스핀바를 돌려서 용해되었다. 이 용액은 ITO 전극 패턴이 형성된 글라스 위에 3000 rpm으로 45 초간 스핀코팅 되었다. 이 때 얻어진 유기물 박막층은 80$^{\circ}$C의 Ar이 주입되는 convection oven에서 3시간 동안 경화되었다. 경화된 단층 유기물 박막층 위에 Li-Al이 1000 ${\AA}$의 두께로 증착되어 전극이 형성되었고, 이후 질소가 채워진 globe box에서 소자는 encapsulation되어 산소와 수분에 대한 영향으로부터 차단되었다. 상기의 공정으로 제작된 소자의 박막구조는 그림 2에서 보여진다. 그림 3은 MEH-PPV와 DFPP를 혼합했을 때의 흡수 스펙트럼이다. 최대 흡수 파장은 511 nm였다. 그리고 photovoltaic cell의 V-I 특성 결과가 그림 4와 같이 측정되었다. 측정에서는 300${\sim}$700 nm의 파장대를 갖는 태양광 모사계가 사용되었고, 셀의 면적은 10 mm$^{2}$였다. 그림 5의 I-V 특성으로부터 MEH-PPV와 DFPP가 9:1 로 혼합했을 때보다 2.33:1 로 혼합했을 때, photovoltaic device의 효율이 향상됨을 확인할 수 있다. 빛이 75 mW/cm$^{2}$ 의 세기로 조사될 때 9:1과 2.33:1로 혼합된 소자의 open circuit voltage (V$_{oc}$)는 비슷하지만, short circuit current Density (J$_{sc}$)는 각각 -1.39 ${\mu}$A/cm$^{2}$ 와 -3.72${\mu}$A/cm$^{2}$ 로 약 2.7배 정도 증가되었음을 볼 수 있다. 이러한 결과를 통해 electron acceptor인 DFPP의 비율이 높아질수록 photovoltaic cell의 conversion efficiency가 더 크게 됨을 확인할 수 있다. 그러므로 효율이 최대가 되는 두 폴리머의 혼합 비율이 최적화되는 조건을 찾는 것은 매우 중요한 연구가 될 것이다.

  • PDF

Si을 기판으로한 $P_2O_5-SiO_2$ 광도파로의 제작 및 손실측정 (Fabrication and loss measurement of $P_2O_5-SiO_2$ optical waveguides on Si)

  • 이형종;임기건;정창섭;정환재;김진승
    • 한국광학회지
    • /
    • 제3권4호
    • /
    • pp.258-265
    • /
    • 1992
  • 저압화학기상증착법으로 Si 기판에 $P_{2}O_{5}-SiO_{2}$ 광도파박막계를 제작하였다. 제작된 박막의 광도파손실율은 1.65dB/cm이었으나 $1100^{\circ}C$에서 열처리한 뒤에는 0.1dB/cm 이하로 크게 감소하였다. 레이저 노광법과 활성이온식각법으로 광도파로를 제작하여 $1100^{\circ}C$에서 열처리하였다. 열처리 결과 도파로 코어의 모양은 사각형에서 반원형으로 바뀌었으며 0.6328$\mu$m에서 0.03dB/cm 그리고 1.53$\mu$m에서 0.04dB/cm의 낮은 도파손실율을 나타내었다. 도파로의 도파손실율이 감소하는 이유로는 고온 열처리과정에서 첫째 박막조직과 결합하여 광흡수를 일으키는 수소가 확산 방출되고 둘째 광산란을 일으키는 도파로의 거친 계면 및 박막조직이 재형성되며, 셋째 식각법으로 도파로를 만들때 생기는 도파로 코어의 거친 계면이 매끄럽게되어 도파광의 산란손실이 중어들기 때문으로 생각된다.

  • PDF

분광타원해석법을 이용한 $Ge_2Sb_2Te_5$ 의 복소굴절율 결정 (Determination of the complex refractive index of $Ge_2Sb_2Te_5$ using spectroscopic ellipsometry)

  • 김상준;김상열;서훈;박정우;정태희
    • 한국광학회지
    • /
    • 제8권6호
    • /
    • pp.445-449
    • /
    • 1997
  • 비정질상과 결정상으로 가역변화하는 특성을 이용하여, 기존의 읽기전용 기록매체인 Compact Disk(CD)를 대체할 차세대 광기록매체로 주목받고 있는 Ge$_{2}$Sb$_{2}$Te$_{5}$(GST)의 상태변화에 따른 굴절율과 소광계수, 박막의 두께와 밀도 등 박막상수들을 구하였다. DC 스퍼터링방법으로 제작한 두꺼운 GST의 복소굴절율을 양자역학적 분산식을 이용한 모델링방법으로 구하고, 한편으로는 표면미시거칠기를 AFM(Atomic Force Microscopy)으로 결정한 다음, 타원해석 스펙트럼들을 수치해석적 역방계산하여 구한 복소굴절율과 비교하였다. 결정상과 비정질상일 때의 GST의 복소굴절율을 각각 구하고 이로부터 계산된 반사율을 측정된 반사율과 비교함으로써 수치해석적인 방법이 실제 GST의 복소굴절율과 더 일치하는 값ㅇㄹ 가지게 됨을 확인하였다. 이렇게 구한 GST의 복소굴절율을 기준데이터로 사용하여 실제 설계두께를 가지는 GST박막의 두께 및 표면거칠기층을 정량적으로 구하였다.다.

  • PDF

PECVD방법으로 형성한 $W_{67}N_{33}$/GaAs구조의 열적 특성 (Thermal characteristics of $W_{67}N_{33}$/GaAs structure)

  • 이세정;홍종성;이창우;이종무;김용태;민석기
    • 한국재료학회지
    • /
    • 제3권5호
    • /
    • pp.443-450
    • /
    • 1993
  • 실리콘이 주입된 CaAs 기판위에 플라즈마 화학 증착법으로 자기정렬 gate구조의 Schottky contact을 형성하였다. 갈륨비소 소자 제조를 위하여 두께 1600$\AA$의 턴스텐질화막을 $350^{\circ}C$에서 증착하여 $750^{\circ}C$에서 $900^{\circ}C$까지 급속 열처리 하였다. 텅스텐 질화막과 GaAs계면의 열적 안정성을 XRD(X-ray diffraction), PL(photoluminescence),ODLTS(optical deep livel transient spectroscopy)측정으로 조사하였으며, W보다 $W_{67}N_{33}$ gate를 형성시킬 경우에 GaAs에 미치는 열적손상이 적음을 알 수 있으며 이온 주입한 Si이온이 활성화 되는 것으로 생각된다. $W_{67}N_{33}$ GaAs 다이오드가 약 800-$900^{\circ}C$의 고온열처리 온도에서 W/GaAs 다이오드의 경우보다 열적 안정성이 우수하였다.

  • PDF

SKD61과 Radical Nitriding 처리된 SKD61 기판상에 Arc Ion Plating으로 증착된 TiN 박막의 미세구조 및 기계적 특성, 마찰 및 접착력에 관한 연구 (A Study on Microstructure, Mechanical Properties, Friction and Adhesion of TiN Thin Films Coated on SKD61 and Radical Nitrided SKD61 Substrates by Arc Ion Plating)

  • 주윤곤;윤재홍;방위;장세굉;조동율;하성식
    • 한국표면공학회지
    • /
    • 제40권6호
    • /
    • pp.254-257
    • /
    • 2007
  • TiN coating on tool steel has been widely used for the improvement of durability of tools. In this work, radical nitriding(RN) is carried out on SKD61 at $450^{\circ}C$ for 5 hours in the ammonia gas pressure $2.7{\times}10^3\;Pa$. The TiN coating is carried out by arc ion plating(AIP) with the process parameters: arc power 150 A, bias voltage -50V, coating time 40 minutes and nitrogen gas pressure $4{\times}10^3\;Pa$. Hardness, elastic modulus, friction coefficient and adhesion of TiN coating on substrates of both TiN/SKD61 and TiN/RN SKD61 coatings are investigated comparatively. The primary crystalline faces of TiN surface are(200) and(111) for TiN/SKD61 and TiN/RN SKD61 respectively. In addition to the primary phase, Fe phase exists in TiN/SKD61 coating, but not in TIN/RN SKD61. The hardness of TiN/RN SKD61 is about 700 Hv, 250 Hv(56%) higher than that of TiN/SKD61 at the near interface of TiN and substrates. At the TiN surface, hardness of TiN/RN SKD61 is 2,149 Hv, 71 Hv(3%) higher than that of TiN/SKD61. The elastic modulus of TiN coating is improved to 26.7 GPa(6%) by radical nitriding. The adhesion is improved by the RN coating showing no spalling. buckling and chipping on the scratch test track which are shown on the non-RN TiN/SKD61.

$BCl_3$ 유도결합 플라즈마를 이용하여 식각된 $HfO_2$ 박막의 표면 반응 연구 (Surface reaction of $HfO_2$ etched in inductively coupled $BCl_3$ plasma)

  • 김동표;엄두승;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.477-477
    • /
    • 2008
  • For more than three decades, the gate dielectrics in CMOS devices are $SiO_2$ because of its blocking properties of current in insulated gate FET channels. As the dimensions of feature size have been scaled down (width and the thickness is reduced down to 50 urn and 2 urn or less), gate leakage current is increased and reliability of $SiO_2$ is reduced. Many metal oxides such as $TiO_2$, $Ta_2O_4$, $SrTiO_3$, $Al_2O_3$, $HfO_2$ and $ZrO_2$ have been challenged for memory devices. These materials posses relatively high dielectric constant, but $HfO_2$ and $Al_2O_3$ did not provide sufficient advantages over $SiO_2$ or $Si_3N_4$ because of reaction with Si substrate. Recently, $HfO_2$ have been attracted attention because Hf forms the most stable oxide with the highest heat of formation. In addition, Hf can reduce the native oxide layer by creating $HfO_2$. However, new gate oxide candidates must satisfy a standard CMOS process. In order to fabricate high density memories with small feature size, the plasma etch process should be developed by well understanding and optimizing plasma behaviors. Therefore, it is necessary that the etch behavior of $HfO_2$ and plasma parameters are systematically investigated as functions of process parameters including gas mixing ratio, rf power, pressure and temperature to determine the mechanism of plasma induced damage. However, there is few studies on the the etch mechanism and the surface reactions in $BCl_3$ based plasma to etch $HfO_2$ thin films. In this work, the samples of $HfO_2$ were prepared on Si wafer with using atomic layer deposition. In our previous work, the maximum etch rate of $BCl_3$/Ar were obtained 20% $BCl_3$/ 80% Ar. Over 20% $BCl_3$ addition, the etch rate of $HfO_2$ decreased. The etching rate of $HfO_2$ and selectivity of $HfO_2$ to Si were investigated with using in inductively coupled plasma etching system (ICP) and $BCl_3/Cl_2$/Ar plasma. The change of volume densities of radical and atoms were monitored with using optical emission spectroscopy analysis (OES). The variations of components of etched surfaces for $HfO_2$ was investigated with using x-ray photo electron spectroscopy (XPS). In order to investigate the accumulation of etch by products during etch process, the exposed surface of $HfO_2$ in $BCl_3/Cl_2$/Ar plasma was compared with surface of as-doped $HfO_2$ and all the surfaces of samples were examined with field emission scanning electron microscopy and atomic force microscope (AFM).

  • PDF

The surface kinetic properties between $BCl_3/Cl_2$/Ar plasma and $Al_2O_3$ thin film

  • Yang, Xue;Kim, Dong-Pyo;Um, Doo-Seung;Kim, Chang-Il
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.169-169
    • /
    • 2008
  • To keep pace with scaling trends of CMOS technologies, high-k metal oxides are to be introduced. Due to their high permittivity, high-k materials can achieve the required capacitance with stacks of higher physical thickness to reduce the leakage current through the scaled gate oxide, which make it become much more promising materials to instead of $SiO_2$. As further studying on high-k, an understanding of the relation between the etch characteristics of high-k dielectric materials and plasma properties is required for the low damaged removal process to match standard processing procedure. There are some reports on the dry etching of different high-k materials in ICP and ECR plasma with various plasma parameters, such as different gas combinations ($Cl_2$, $Cl_2/BCl_3$, $Cl_2$/Ar, $SF_6$/Ar, and $CH_4/H_2$/Ar etc). Understanding of the complex behavior of particles at surfaces requires detailed knowledge of both macroscopic and microscopic processes that take place; also certain processes depend critically on temperature and gas pressure. The choice of $BCl_3$ as the chemically active gas results from the fact that it is widely used for the etching o the materials covered by the native oxides due to the effective extraction of oxygen in the form of $BCl_xO_y$ compounds. In this study, the surface reactions and the etch rate of $Al_2O_3$ films in $BCl_3/Cl_2$/Ar plasma were investigated in an inductively coupled plasma(ICP) reactor in terms of the gas mixing ratio, RF power, DC bias and chamber pressure. The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by AFM and SEM. The chemical states of film was investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts.

  • PDF

전이금속 원소가 치환된 준강자성체 T0.2Fe2.8O4(T = V, Cr, Mn) 화합물의 광학적 성질 분석 (Analysis on Optical Properties of Transition-metal Substituted Ferromagnetic T0.2Fe2.8O4 (T = V, Cr, Mn) Compounds)

  • 김광주
    • 한국자기학회지
    • /
    • 제21권2호
    • /
    • pp.56-60
    • /
    • 2011
  • 준강자성체(ferrimagnet) $Fe_3O_4$를 기반 물질로 하여 주기율표 상에서 Fe와 인접한 전이금속 원소 T(= V, Cr, Mn)가 도핑된 삼원화합물($T_{0.2}Fe_{2.8}O_4$) 박막 시료들을 제작하여 그 광학적 성질을 1~8 eV 범위 내에서 분광타원해석법(spectroscopic ellipsometry)을 이용하여 측정하고 $Fe_3O_4$에서의 결과와 비교하였다. V, Cr, Mn 도핑 시 선호되는 스피넬(spinel) 구조 상의 양이온 자리(site) 및 이온수(ionicity)와 연관된 전자구조 상의 변화에 근거하여 삼원화합물과 $Fe_3O_4$의 흡수 스펙트럼 차이의 원인을 분석하였다. $Fe_3O_4$ 및 전이금속 도핑된 화합물들에서 관측된 광학적 흡수 스펙트럼은 주로 Fe 이온의 d 전자가 관련된 이온 간의 전하이동전이(charge-transfer transition)에 의하여 발생하는 에너지 폭이 넓은 흡수구조들의 기여에 의한 것으로 해석된다. 또한, 흡수 스펙트럼에서 관측된 좁은 에너지 폭의 구조들은 사면체 자리에 존재하는 $Fe^{3+}(d^5)$ 이온 내의 d 전자들에 의한 결정장 전이(crystal-field transition)에 기인한 것으로 해석된다. 이와 같은 전이들과 관련된 전자상태들을 스핀편극된 $Fe_3O_4$ 전자구조를 토대로 기술하였다.