• Title/Summary/Keyword: TaN Diffusion Barrier

Search Result 33, Processing Time 0.028 seconds

Crystalline Structure and Cu Diffusion Barrier Property of Ta-Si-N Films (Ta-Si-N박막의 조성에 따른 결정구조 및 구리 확산 방지 특성 연구)

  • Jung, Byoung-Hyo;Lee, Won-Jong
    • Korean Journal of Materials Research
    • /
    • v.21 no.2
    • /
    • pp.95-99
    • /
    • 2011
  • The microstructure and Cu diffusion barrier property of Ta-Si-N films for various Si and N compositions were studied. Ta-Si-N films of a wide range of compositions (Si: 0~30 at.%, N: 0~55 at.%) were deposited by DC magnetron reactive sputtering of Ta and Si targets. Deposition rates of Ta and Si films as a function of DC target current density for various $N_2/(Ar+N_2)$ flow rate ratios were investigated. The composition of Ta-Si-N films was examined by wavelength dispersive spectroscopy (WDS). The variation of the microstructure of Ta-Si-N films with Si and N composition was examined by X-ray diffraction (XRD). The degree of crystallinity of Ta-Si-N films decreased with increasing Si and N composition. The Cu diffusion barrier property of Ta-Si-N films with more than sixty compositions was investigated. The Cu(100 nm)/Ta-Si-N(30 nm)/Si structure was used to investigate the Cu diffusion barrier property of Ta-Si-N films. The microstructure of all Cu/Ta-Si-N/Si structures after heat treatment for 1 hour at various temperatures was examined by XRD. A contour map that shows the diffusion barrier failure temperature for Cu as a function of Si and N composition was completed. At Si compositions ranging from 0 to 15 at.%, the Cu diffusion barrier property was best when the composition ratio of Ta + Si and N was almost identical.

Characteristics of TaN by Atomic Layer Deposition as a Copper Diffusion Barrier (ALD법을 이용해 증착된 TaN 박막의 Cu 확산방지 특성)

  • Na, Kyoung-Il;Hur, Won-Nyung;Boo, Sung-Eun;Lee, Jung-Hee
    • Journal of Sensor Science and Technology
    • /
    • v.13 no.3
    • /
    • pp.195-198
    • /
    • 2004
  • For a diffusion barrier against copper, tantalum nitride films have been deposited on $SiO_{2}$ by atomic layer deposition (ALD), using PEMAT(Pentakis(ethylmethylamino)tantalum) and $NH_{3}$ as precursors, Ar as purging gas. The deposition rate of TaN at substrate temperature $250^{\circ}C$ was about $0.67{\AA}$ per one cycle. The stability of TaN films as a Cu diffsion barrier was tested by thermal annealing for 30 minutes in $N_{2}$ ambient and characterized through XRD, sheet resistance, and C-V measurement(Cu($1000{\AA}$)/TaN($50{\AA}$)/$SiO_{2}$($2000{\AA}$)/Si capacitor fabricated), which prove the TaN film maintains the barrier properties Cu below $400^{\circ}C$.

Development of Plasma Assisted ALD equipment and electrical characteristic of TaN thin film deposited PAALD method (Plasma Assisted ALD 장비 계발과 PAALD법으로 증착 된 TaN 박막의 전기적 특성)

  • Do Kwan-Woo;kim Kyoung-Min;Yang Chung-Mo;Park Seong-Guen;Na Kyoung-Il;Lee Jung-Hee;Lee Jong-Hyun
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2005.05a
    • /
    • pp.139-145
    • /
    • 2005
  • In the study, in order to deposit TaN thin film using diffusion barrier and bottom electrode we made the Plasma Assisted ALD equipment and confirmed the electrical characteristic of TaN thin films deposited PAALD method, PAALD equipment depositing TaN thin film using PEMAT(pentakis(ethylmethlyamlno) tantalum) Precursor and $NH_3$ reaction gas is aware that TaN thin film deposited of high density and amorphous phase with XRD measurement The degree of diffusion and react ion taking place in Cu/TaN(deposited using 150 W PAALD)/$SiO_2$/Si systems with increasing annealing temperature was estimated from MOS capacitor property and the $SiO_2(600\;\AA)$/Si system surface analysis by C-V measurement and secondary ion material spectrometer(SIMS) after Cu/TaN/$SiO_2(400\;\AA)$ system etching. TaN thin film deposited PAALD method diffusion barrier have a good diffusion barrier property up to $500^{\circ}C$.

  • PDF

Development of Plasma Assisted ALD equipment and Electrical Characteristic of TaN thin film deposited PAALD method (Plasma Assisted ALD 장비 계발과 PAALD법으로 증착 된 TaN 박막의 전기적 특성)

  • Do Kwan Woo;Kim Kyoung Min;Yang Chung Mo;Park Seong Guen;Na Kyoung Il;Lee Jung Hee;Lee Jong Hyun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.4 no.2 s.11
    • /
    • pp.39-43
    • /
    • 2005
  • In the study, in order to deposit TaN thin film for diffusion barrier and bottom electrode we made the Plasma Assisted ALD equipment and confirmed the electrical characteristics of TaN thin films grown PAALD method. Plasma Assisted ALD equipment depositing TaN thin film using PEMAT(pentakis(ethylmethlyamino) tantalum) precursor and NH3 reaction gas is shown that TaN thin film deposited high density and amorphous phase with XRD measurement. The degree of diffusion and reaction taking place in Cu/TaN (deposited using 150W PAALD)/$SiO_{2}$/Si systems with increasing annealing temperature was estimated for MOS capacitor property and the $SiO_{2}$, (600${\AA}$)/Si system surface analysis by C-V measurement and secondary ion material spectrometer (SIMS) after Cu/TaN/$SiO_{2}$ (400 ${\AA}$) layer etching. TaN thin film deposited PAALD method diffusion barrier have a good diffusion barrier property up to 500$^{\circ}C$.

  • PDF

Characteristics of TaN Film as to Cu Barrier by PAALD Method (PAALD 방법을 이용한 TaN 박막의 구리확산방지막 특성)

  • 부성은;정우철;배남진;권용범;박세종;이정희
    • Journal of the Semiconductor & Display Technology
    • /
    • v.2 no.2
    • /
    • pp.5-8
    • /
    • 2003
  • In this study, as Cu diffusion barrier, tantalum nitrides were successfully deposited on Si(100) substrate and $SiO_2$ by plasma assisted atomic layer deposition(PAALD) and thermal ALD, using pentakis (ethylmethlyamino) tantalum (PEMAT) and NH$_3$ as precursors. The TaN films were deposited at $250^{\circ}C$ by both method. The growth rates of TaN films were 0.8${\AA}$/cycle for PAALD and 0.75${\AA}$/cycle for thermal ALD. TaN films by PAALD showed good surface morphology and excellent step coverage for the trench with an aspect ratio of h/w -1.8:0.12 mm but TaN films by thermal ALD showed bad step coverage for the same trench. The density for PAALD TaN was 11g/cmand one for thermal ALD TaN was 8.3g/$cm^3$. TaN films had 3 atomic % carbon impurity and 4 atomic % oxygen impurity for PAALD and 12 atomic % carbon impurity and 9 atomic % oxygen impurity for thermal ALD. The barrier failure for Cu(200 nm)/TaN(10 nm)/$SiO_2$(85 nm)/ Si structure was shown at temperature above $700^{\circ}C$ by XRD, Cu etch pit analysis.

  • PDF

Adhesion and Diffusion Barrier Properties of $TaN_x$ Films between Cu and $SiO_2$ (Cu 박막과 $SiO_2$ 절연막사이의 $TaN_x$ 박막의 접착 및 확산방지 특성)

  • Kim, Yong-Chul;Lee, Do-Seon;Lee, Won-Jong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.16 no.3
    • /
    • pp.19-24
    • /
    • 2009
  • Formation of an adhesion/barrier layer and a seed layer by sputtering techniques followed by electroplating has been one of the most widely used methods for the filling of through-Si via (TSV) with high aspect ratio for 3-D packaging. In this research, the adhesion and diffusion-barrier properties of the $TaN_x$ film deposited by reactive sputtering were investigated. The adhesion strength between Cu film and $SiO_2$/Si substrate was quantitatively measured by $180^{\circ}$ peel test and topple test as a function of the composition of the adhesive $TaN_x$ film. As the nitrogen content increased in the adhesive $TaN_x$ film, the adhesion strength between Cu and $SiO_2$/Si substrate increased, which was attributed to the increased formation of interfacial compound layer with the nitrogen flow rate. We also examined the diffusion-barrier properties of the $TaN_x$ films against Cu diffusion and found that it was improved with increasing nitrogen content in the $TaN_x$ film up to N/Ta ratio of 1.4.

  • PDF

A bilayer diffusion barrier of atomic layer deposited (ALD)-Ru/ALD-TaCN for direct plating of Cu

  • Kim, Soo-Hyun;Yim, Sung-Soo;Lee, Do-Joong;Kim, Ki-Su;Kim, Hyun-Mi;Kim, Ki-Bum;Sohn, Hyun-Chul
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.239-240
    • /
    • 2008
  • As semiconductor devices are scaled down for better performance and more functionality, the Cu-based interconnects suffer from the increase of the resistivity of the Cu wires. The resistivity increase, which is attributed to the electron scattering from grain boundaries and interfaces, needs to be addressed in order to further scale down semiconductor devices [1]. The increase in the resistivity of the interconnect can be alleviated by increasing the grain size of electroplating (EP)-Cu or by modifying the Cu surface [1]. Another possible solution is to maximize the portion of the EP-Cu volume in the vias or damascene structures with the conformal diffusion barrier and seed layer by optimizing their deposition processes during Cu interconnect fabrication, which are currently ionized physical vapor deposition (IPVD)-based Ta/TaN bilayer and IPVD-Cu, respectively. The use of in-situ etching, during IPVD of the barrier or the seed layer, has been effective in enlarging the trench volume where the Cu is filled, resulting in improved reliability and performance of the Cu-based interconnect. However, the application of IPVD technology is expected to be limited eventually because of poor sidewall step coverage and the narrow top part of the damascene structures. Recently, Ru has been suggested as a diffusion barrier that is compatible with the direct plating of Cu [2-3]. A single-layer diffusion barrier for the direct plating of Cu is desirable to optimize the resistance of the Cu interconnects because it eliminates the Cu-seed layer. However, previous studies have shown that the Ru by itself is not a suitable diffusion barrier for Cu metallization [4-6]. Thus, the diffusion barrier performance of the Ru film should be improved in order for it to be successfully incorporated as a seed layer/barrier layer for the direct plating of Cu. The improvement of its barrier performance, by modifying the Ru microstructure from columnar to amorphous (by incorporating the N into Ru during PVD), has been previously reported [7]. Another approach for improving the barrier performance of the Ru film is to use Ru as a just seed layer and combine it with superior materials to function as a diffusion barrier against the Cu. A RulTaN bilayer prepared by PVD has recently been suggested as a seed layer/diffusion barrier for Cu. This bilayer was stable between the Cu and Si after annealing at $700^{\circ}C$ for I min [8]. Although these reports dealt with the possible applications of Ru for Cu metallization, cases where the Ru film was prepared by atomic layer deposition (ALD) have not been identified. These are important because of ALD's excellent conformality. In this study, a bilayer diffusion barrier of Ru/TaCN prepared by ALD was investigated. As the addition of the third element into the transition metal nitride disrupts the crystal lattice and leads to the formation of a stable ternary amorphous material, as indicated by Nicolet [9], ALD-TaCN is expected to improve the diffusion barrier performance of the ALD-Ru against Cu. Ru was deposited by a sequential supply of bis(ethylcyclopentadienyl)ruthenium [Ru$(EtCp)_2$] and $NH_3$plasma and TaCN by a sequential supply of $(NEt_2)_3Ta=Nbu^t$ (tert-butylimido-trisdiethylamido-tantalum, TBTDET) and $H_2$ plasma. Sheet resistance measurements, X-ray diffractometry (XRD), and Auger electron spectroscopy (AES) analysis showed that the bilayer diffusion barriers of ALD-Ru (12 nm)/ALD-TaCN (2 nm) and ALD-Ru (4nm)/ALD-TaCN (2 nm) prevented the Cu diffusion up to annealing temperatures of 600 and $550^{\circ}C$ for 30 min, respectively. This is found to be due to the excellent diffusion barrier performance of the ALD-TaCN film against the Cu, due to it having an amorphous structure. A 5-nm-thick ALD-TaCN film was even stable up to annealing at $650^{\circ}C$ between Cu and Si. Transmission electron microscopy (TEM) investigation combined with energy dispersive spectroscopy (EDS) analysis revealed that the ALD-Ru/ALD-TaCN diffusion barrier failed by the Cu diffusion through the bilayer into the Si substrate. This is due to the ALD-TaCN interlayer preventing the interfacial reaction between the Ru and Si.

  • PDF

Electromigration Characteristics Stduy DCV Interconnect Structures in Cu Dual-Damascene Process (Cu Dual Damascene 배선 공정에서의 DCV 배선구조의 EM 특성 연구)

  • Lee, Hyun-Ki;Choi, Min-Ho;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.123-124
    • /
    • 2005
  • We investigated the effect of a Ta/TaN Cu diffusion barrier existence on the reliability and the electrical performance of Cu dual-damascene interconnects. A high EM performance in Cu dual-damascene structure was observed the BCV(barrier contact via) interconnect structure to remain Ta/TaN barrier layer. Via resistance was decreased DCV interconnect structure by bottomless process. This structure considers that DCV interconnect structure has lower activation energy and higher current density than BCV interconnect structure. The EM failures by BCV via structure were formed at via hole, but DCV via structure was formed EM fail at the D2 line. In order to improve the EM characteristic of DCV interconnect structure by bottomless process, after Ta/TaN diffusion barrier layer in via bottom is removed by Ar+ resputtering process, it is desirable that Ta thickness is thickly made by Ta flash process.

  • PDF