• 제목/요약/키워드: Surface Passivation

검색결과 362건 처리시간 0.027초

전기선폭발법으로 제조한 나노 W(텅스텐) 분말의 환원처리 및 방전플라즈마소결에 의한 조밀화 (Reduction and Spark Plasma Sintering of the W(Tungsten) Nanopowder Produced by the Electric Explosion of Wire Process)

  • 김지순;김철희;박은주;권영순;김진천;이성호;정동익
    • 한국분말재료학회지
    • /
    • 제13권4호
    • /
    • pp.269-277
    • /
    • 2006
  • [ ${\beta}-W(W_3O)$ ] oxide layer on the surface of each W(tungsten) nanopowder produced by the electric explosion of wire(EEW) process were formed during the 1vol.% air passivation process. The oxide layer hindered sintering densification of compacts during SPS process. The oxide phase was reduced to the pure W phase during SPS. The W nanopowder's compacts treated by the hydrogen reduction showed high sintered density of 94.5%. after SPS process at $1900^{\circ}C$.

Ru CMP Slurry의 개발 및 특성평가 (Development and Characterization of Ru CMP Slurry)

  • 김인권;권태영;박진구;박형순
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 추계학술대회 논문집 Vol.19
    • /
    • pp.57-58
    • /
    • 2006
  • In MIM (metal insulator metal) capacitor, Ru (ruthenium) has been suggested as new bottom electrode due to its excellent electrical performance, a low leakage of current and compatibility to the high dielectric constant materials. In this case of Ru bottom electrode, CMP (chemical mechanical planarization) process was needed m order to planarize and isolate the bottom electrode. In this study, the effect of chemical A on polishing and etching behavior was investigated as functions of chemical A concentration, abrasive particle and pressure. Chemical A was used as oxidant and etchant. The thickness of passivation layer on the treated Ru surface increased with the increase of chemical A concentration. The etch rate and removal rate of Ru were increased by the addition of chemical A. The removal rate was highest m slurry of pH 9 with the addition of 0.1 M chemical A and 2 wt% alumina at 4 psi. The maximum removal rate is about 80 nm/min.

  • PDF

산화제 및 연마제 첨가를 통한 Nickel CMP 특성 개선 연구 (Improvement of Chemical Mechanical Polishing (CMP) Performance of Nickel by Additions of Abrasive and Various Oxidizers)

  • 최권우;김남훈;서용진;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제18권7호
    • /
    • pp.605-609
    • /
    • 2005
  • Chemical mechanical polishing (CMP) of Ni was performed by the various ratios of four kinds of oxidizers and an addition of alumina powders as an abrasive in each slurry with the different oxidizers. Moreover, the interaction between the Ni and the each oxidizer was discussed by potentiodynamic polarization measurement, in order to compare the effects of Ni-CMP and electrochemical characteristics on the Ni with the different oxidizers. As an experimental result, the removal rate of Ni reached a maximum at 1 $vol\%$ of $H_2O_2$. Also the removal rates of Ni increased with the audition of alumina abrasives in each slurry. The potentiodynamic polarization of Ni under dynamic condition showed a significant difference in electrochemical behavior by addition of $H_2O_2$ in solutions. Ni showed the perfect passivation behavior in solution without $H_2O_2$ under potentiodynamic polarization condition, while active dissolution dominates in solution with the addition of $H_2O_2$. The results indicate that the surface chemistry and electrochemical characteristics of Ni play an important role in controlling the polishing behavior of Ni.

유연성 기판위에 스퍼터링 방법으로 증착한 CdS 박막의 전기적 특성 및 신뢰성 평가 (Electrical Properties and Reliability of CdS Thin Film Deposited by R.F. Sputtering)

  • 허성기;황미나;안준구;윤순길
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2010년도 하계학술대회 논문집
    • /
    • pp.26-26
    • /
    • 2010
  • Cadmium sulfide (CdS) thin film for flexible optical device applications were prepared at $H_2(Ar+H_2)$ flow ratios on polyethersulfon(PES) flexible polymer substrates at room temperature by radio frequency magnetron sputtering technique. The CdS thin films deposited at room temperature showed a (002) preferred orientation and the smooth surface morphologies. Films deposited at a hydrogen flow ratio of 25% exhibited a photo- and dark-sheet resistance of about 50 and $2.7{\times}10^5{\Omega}$/square, respectively. From the result of the bending test, CdS films exhibit a strong adhesion with the PES polymer substrates and the $Al_2O_3$ passivation layer deposited on the CdS films only shows an increase of the resistance of 8.4% after exposure for 120 h in air atmosphere.

  • PDF

구리 박막의 선택적 화학기상 증착에 대한 운반 기체의 영향과 기판 표면 처리에 의한 선택성 증진 효과 (The Carrier Gas Effects on Selectivity and the Enhancement of Selectivity by Surface Passivation in Chemical Vapor Deposition of Copper Films)

  • 김석;박종만;최두진
    • 한국재료학회지
    • /
    • 제7권9호
    • /
    • pp.811-823
    • /
    • 1997
  • 차세대 반도체 배선분야에서, Cu박막은 현재의 AI을 대체할 물질로서 대두되고 있으며 CVD에 의한 선택적 증착은 Cu의 patterning과 관련하여 상당한 관심을 일으키고 있다. 본 연구에서는 (hfac)Cu(VTMS)의 유기원료를 사용하여, CVD공정변수, 운반기체, 표면 처리 공정에 따른 SiO$_{2}$, TiN, AI기판에 대한 선택성을조사하였다. 선택성은 저온(15$0^{\circ}C$), 저합(0.3Torr)에서 향상될 수 있었으며, 특히, HMDS in-situ-predosing공정에 의해 더욱 향상될 수 있었다. 모든 경우에 대해, H$_{2}$운반기체가 Ar 보다 짧은 incubation time과 높은 증착 속도가 얻어졌으며, Cu입자들의 크기가 작고 연결상태가 보다 양호하였다. 이는 H$_{2}$경우에 기판표면에 원료가 흡착되어 핵을 형성시키는 위치 (-OH)가 보다 많이 제공되기 때문으로 여겨진다. 이러한 미세구조의 차이는 H$_{2}$경우에 보다 낮은 비저항을 얻게 했다. HMDS in-situ predosing공정에 의한 Cu박막내 불순물 차이는 없었으며 뚜렷한 비저항의 차이도 나타나지 않았다.

  • PDF

Nitoxide막에 의한 표면 불활성화에 관한 연구 (A Study on the passivation of Si by Thermal Ammonia Nitroxide)

  • 성영권;최종일;오재하
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1988년도 춘계학술대회 논문집
    • /
    • pp.78-81
    • /
    • 1988
  • Nitroxide films were made from the $NH_3$ gas nitridation of as-grown $SiO_2$. The electrical characterization results including C-V characteristics and BT stress generally indicate that the high field stress instability and insulator-substrate interfacial characteristics are improved by nitridation of $SiO_2$. A C-V technique was used to determine the surface state density $N_{55}$ and then $N_{55}$ in the nitroxide-substrate interface was $8{\times}10(/eVcm^2$). This $N_{55}$ is related with 1/f noise was revealed experimentally and relationship was plotted and 1/f noise characteristics were also improved by nitridation of of $SiO_2$By the results of measurements on these films show that very thin thermal silicon nitroxide films can be used as gate dielectrics for future highly scaled-down VLSI device.

  • PDF

Electrochemical Etch-Stop Suitable for MEMS Applications

  • Chung, Gwiy-Sang;Kim, Sun-Chunl;Kim, Tae-Song
    • Transactions on Electrical and Electronic Materials
    • /
    • 제2권2호
    • /
    • pp.26-31
    • /
    • 2001
  • This paper presents the electrochemical etch-stop characteristics of single-crystal Si(001) wafers in tetramethyl ammonium hydroxide(TMAH):isopropyl alcohol(IPA):pyrazine solutions. The addition of pyrazine to TMAH:IPA solutions increased the etch rate of (100) Si, thus the etching time required by the etch-stop process shortened. The current-voltage(I-V) characteristics of n- and p-type Si in TMAH:IPA:pyrazine solutions were obtained, respectively. Open circuit potential(OCP) and passivation potential(PP) of n- and p-type Si, respectively, were obtained and applied potential was selected between n- and p-type Si PPs. The electrochemical etch-stop method was used to fabricate 801 microdiaphragms of 20 ${\mu}{\textrm}{m}$ thickness on a 5-inch Si wafer. The average thickness of fabricated 801 microdiaphragms on one Si wafer was 20.03 ${\mu}{\textrm}{m}$ and the standard deviation was $\pm$0.26 ${\mu}{\textrm}{m}$. The Si surface of the etch-stopped microdiaphragm was extremely flat with no noticeable taper or nonuniformity.

  • PDF

Synthesis of Nickel and Copper Nanopowders by Plasma Arc Evaporation

  • Cho, Young-Sang;Moon, Jong Woo;Chung, Kook Chae;Lee, Jung-Goo
    • 한국분말재료학회지
    • /
    • 제20권6호
    • /
    • pp.411-424
    • /
    • 2013
  • In this study, the synthesis of nickel nanoparticles and copper nanospheres for the potential applications of MLCC electrode materials has been studied by plasma arc evaporation method. The change in the broad distribution of the size of nickel and copper nanopowders is successfully controlled by manifesting proper mixture of gas ambiance for plasma generation in the size range of 20 to 200 nm in diameter. The factors affecting the mean diameter of the nanopowder was studied by changing the composition of reactive gases, indicating that nitrogen enhances the formation of larger particles compared to hydrogen gas. The morphologies and particle sizes of the metal nanoparticles were observed by SEM, and ultrathin oxide layers on the powder surface generated during passivation step have been confirmed using TEM. The metallic FCC structure of the nanoparticles was confirmed using powder X-ray diffraction method.

혼합 산화제가 W-CMP 특성에 미치는 영향 (Effects of Mixed Oxidizer on the W-CMP Characteristics)

  • 박창준;서용진;김상용;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제16권12S호
    • /
    • pp.1181-1186
    • /
    • 2003
  • Chemical Mechanical Polishing (CMP) is an essential dielectric planarization in multilayer microelectronic device fabrication. In the CMP process, it is necessary to minimize the extent of surface defect formation while maintaining good planarity and optimal material removal rates. The polishing mechanism of W-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. Thus, it is important to understand the effect of oxidizer on W passivation layer, in order to obtain higher removal rate (RR) and very low non-uniformity (NU %) during W-CMP process. In this paper, we compared the effects of oxidizer or W-CMP process with three different kind of oxidizers with 5 wt% hydrogen peroxide such as Fe(NO$_3$)$_3$, H$_2$O$_2$, and KIO$_3$. The difference in removal rate and roughness of W in stable and unstable slurries are believed to caused by modification in the mechanical behavior of Al$_2$O$_3$ particles in presence of surfactant stabilizing the slurry.

Slurry내 분산 안정제가 Ru CMP 거동에 미치는 영향 (The Effect of Dispersant in Slurry on Ru CMP behavior)

  • 조병권;김인권;박진구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.112-112
    • /
    • 2008
  • 최근 Ruthenium (Ru) 은 높은 화학적 안정성, 누설전류에 대한 높은 저항성, 저유전체와의 높은 안정성 등과 같은 특성으로 인해 금속층-유전막-금속층 캐패시터의 하부전극으로 각광받고 있다. 또한 Cu와의 우수한 Adhesion 특성으로 인해 Cu 배선에서의 Cu 확산 방지막으로도 주목받고 있다. 그러나 이렇게 형성된 Ru 하부전극의 각 캐패시터간의 분리와 평탄화를 위해서는 CMP 공정이 도입이 필요하다. 이러한 CMP 공정에 공급되는 Slurry 에는 부식액, pH 적정제, 연마입자 등이 첨가되는데 이때 연마입자가 응집하여 Slurry의 분산 안전성 저하에 영향을 줄수 있다. 이로 인해 응집된 Slurry는 Scratch와 Delamination 과 같은 표면 결함을 유발할 수 있으며, Slurry의 저장 안정성을 저하시켜 Slurry의 물리적 화학적 특성을 변화시킬 수 있다. 그리하여 본 연구에서는 Ru CMP Slurry에서의 Surfactant와 같은 분산 안정제에 따른 Surface tension, Zeta potential, Particle size, Sedimentation의 분석을 통해 Slurry 안정성에 대한 영향을 살펴보았다. 그 결과 pH9 조건의 31ppm Dispersant 농도에서 50%이상의 Sedimentation 상승효과를 얻을 수 있었다. 또한 선택된 Surfactant가 첨가된 Ru CMP Slurry를 제조하여 Ru wafer의 Static etch rate, Passivation film thickness 와 Wettability를 비교해 보았다. 그리고 CMP 공정을 실시하여 Ru의 Removal rate와 TEOS에대한 Selectivity를 측정해 보았다.

  • PDF