• Title/Summary/Keyword: SiOF Thin Film

Search Result 2,902, Processing Time 0.041 seconds

Pentacene Thin-Film Transistor with Different Polymer Gate Insulators (게이트 절연막에 따른 펜타신 박막 트랜지스터의 전기적 특성 분석)

  • Kim, Jae-Kyoung;Her, Hyun-Jung;Kim, Jae-Wan;Choi, Y.J.;Kang, C.J.;Kim, Yong-Sang
    • Proceedings of the KIEE Conference
    • /
    • 2007.07a
    • /
    • pp.1345-1346
    • /
    • 2007
  • 다양한 게이트 절연막의 펜타신 박막 트랜지스터의 전기적 특성을 atomic force microscope (AFM), X-선 회절을 사용하여 분석하였다. 펜타신 박막 트랜지스터는 thermal evaporator 방법을 사용하여 여러 폴리며 기판위에 제작하였다. Hexamethylsilasane (HMDS), polyvinyl acetate (PVA), polymethyl methacrylate (PMMA)등의 폴리머 기판을 사용하여 다양한 온도에서 증착시켰다. 이 때 PMMA위에 증착시킨 펜타신의 경우가 가장 큰 그레인 크기를 보였고, 가장 적은 트랩 농도를 보였다. 그리고 상부 전극 구조를 가진 박막 트랜지스터를 HMDS 처리를 한 $SiO_2$와 PMMA 절연막을 사용하여 제작하고 비교하였다. 이때 PMMA기판 위에 제작한 트랜지스터는 전계효과 이동도가 ${\mu}_{FET}=0.03cm^{2}/Vs$ 이고, 문턱이전 기울기 0.55V/dec, 문턱전압 $V_{th}=-6V$, on/off 전류비 $>10^5$의 전기적 특성을 보였고, $SiO_2$ 기판위에 제작한 트랜지스터는 전계효과 이동도 ${\mu}_{FET}=0.004cm^{2}/Vs$, 문턱이전 기울기 0.518 V/dec, 문턱전압 $V_{th}=5V$, on/off 전류비 $>10^4$의 전기적 특성을 보였다.

  • PDF

Interface study of ion irradiated Cu/Ni/Cu(001)/Si thin film by X-ray reflectivity (이온 조사된 Cu/Ni/Cu(001)/Si 자성박막에 있어서 X-ray reflectivity를 이용한 계면 연구)

  • Kim, T.G.;Song, J.H.;Lee, T.H.;Chae, K.H.;Hwang, H.M.;Jeon, G.Y.;Lee, J;Jeong, K.;Whang, C.N.;Lee, J.S.;Lee, K.B.
    • Journal of the Korean Magnetics Society
    • /
    • v.12 no.5
    • /
    • pp.184-188
    • /
    • 2002
  • The Cu/Ni/Cu(002)/Si(100) films which have perpendicular magnetic anisotropy were deposited by e-beam evaporation methods. From the reflection high energy electron diffraction pattern, the films were confirmed to be grown epitaxially on silicon. After 2X lots ions/$\textrm{cm}^2$ C+ irradiation, magnetic easy-axis was changed from surface normal to in-plane as shown in the hysteresis loop of magneto-optical Kerr effects. It became manifest from analysis of X-ray reflectivity and grazing incident X-ray diffraction that even though interface between top Cu layer and Ni layer became rougher, the contrast of Cu and Ni's electron density became manifest after ion irradiation. In addition, the strain after deposition of the films was relaxed after ion irradiation. Strain relaxation related with change of magnetic properties and mechanism of intermixed layer's formation was explained by thermo-chemical driving force due to elastic and inelastic collision of ions.

Study on working gas ratio dependance of BST thin film (작업가스비에 따른 BST 박막의 특성)

  • Cui, Ming-Lu;Kwon, Hak-Yong;Park, In-Chul;Kim, Hong-Bae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.393-396
    • /
    • 2004
  • 본 논문에서는 완충층용 MgO 박막을 P-type(100)Si 기판위에 작업가스 $Ar:O_2=80:20$, RF 파워 50W, 기판온도 $400^{\circ}C$, 10mtorr의 작업진공에서 $500{\AA}$ 증착하였다. 제작된 MgO/Si 기판위에 RF Magnetron sputtering법으로 작업가스 $Ar:O_2$의 비율을 90:10, 80:20, 70:30으로 변화하면서 $BST(Ba_{0.5}Sr_{0.5}TiO_3)$ 박막을 약 $2000{\AA}$ 증착하였다. XRD 측정결과 작업가스비의 변화에 관계없이(110)BST와 (111)BST 피크만이 관찰되었으며 작업가스 $Ar:O_2=80:20$에서 가장 양호한 결정성을 나타내었다. I-V 측정결과 인가전계 ${\pm}100kV/cm$에서 $10^{-7}A/cm^2$이하의 양호한 누설전류 특성을 보여주고 있으며 C-V 측정결과 작업가스 $Ar:O_2$의 비율 90:10, 80:20, 70:30에서의 비유전율은 각각 283, 305, 296으로서 작업가스비 80:20에서 제작된 박막의 특성이 가장 우수하였다. 작업가스비 80:20에서 제작된 박막의 SEM 측정결과 결정이 성장되었음을 확인할 수 있었고 그레인의 크기는 약 10nm였다.

  • PDF

Effect of gas composition on the characteristics of a-C:F thin films for use as low dielectric constant ILD (가스 조성이 저유전상수 a-C:F 층간절연막의 특성에 미치는 영향)

  • 박정원;양성훈;이석형;손세일;오경희;박종완
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.4
    • /
    • pp.368-373
    • /
    • 1998
  • As device dimensions approach submicrometer size in ULSI, the demand for interlayer dielectric materials with very low dielectric constant is increased to solve problems of RC delay caused by increase in parasitic resistance and capacitance in multilevel interconnectins. Fluorinated amorphous carbon in one of the promising materials in ULSI for the interlayer dielectric films with low dielectric constant. However, poor thermal stability and adhesion with Si substrates have inhibited its use. Recently, amorphous hydrogenated carbon (a-C:H) film as a buffer layer between the Si substrate and a-C:F has been introduced because it improves the adhesion with Si substrate. In this study, therfore, a-C:F/a-C:H films were deposited on p-type Si(100) by ECRCVD from $C_2F_6, CH_4$and $H_2$gas source and investigated the effect of forward power and composition on the thickness, chemical bonding state, dielectric constant, surface morphology and roughness of a-C:F films as an interlayer dielectric for ULSI. SEM, FT-IR, XPS, C-V meter and AFM were used for determination of each properties. The dielectric constant in the a-C:F/a-C:H films were found to decrease with increasing fluorine content. However, the dielectric constant increased after furnace annealing in $N_2$atomosphere at $400^{\circ}C$ for 1hour due to decreasing of flurorine content. However, the dielectric constant increased after furnace annealing in $N_2$atmosphere at $400^{\circ}C$ for 1hour due to decreasing of fluorine concentration.

  • PDF

Si 박막태양전지용 스퍼터링 증착 기술 현황

  • Lee, Seong-Hun;Kim, Dong-Ho;Yun, Jeong-Heum;Kim, Do-Geun;Kim, Jong-Guk;Lee, Geon-Hwan
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.23.1-23.1
    • /
    • 2011
  • 최근 화석연료를 대체하기 위한 지속가능한 신에너지에 대한 요구가 증대됨에 따라 태양광 발전에 대한 연구도 폭발적으로 늘어가고 있는 추세이다. 태양광이 화석연료 대체에너지로 실효성을 가지기 위해서는 태양광 발전 시스템의 발전효율을 높이고 생산 비용을 저감하는 문제가 선결되어야 한다. 기존 실리콘 태양전지 시스템 설비 비용의 60% 이상을 차지하는 모듈의 제조과정에서 소재 손실을 최소화함으로써 저가격화를 실현하고자 박막형 태양전기 기술이 태동되었다. 현재 박막 태양전지와 관련하여 활발한 기술 개발이 진행되고 있으며 상당한 시장 점유율을 보이고 있는 실정이다. 박막 태양전지 분야에서 CIGS와 같은 화합물 반도체 박막 태양전지 시장이 확대되고 있는 실정을 고려한다면 실리콘 박막 태양전지의 경우 고효율화 저가격화 달성은 더욱 절실한 문제이다. 실리콘 박막의 경우 독성이 없으며 고갈 우려가 없는 소재이면서 기존의 직접회로 산업의 인프라 구조를 활용할 수 있어 많은 기대와 관심을 끌고 있는 박막 태양전지 후보이다. 박막 태양전지 제조에 있어서 핵심기술은 도핑된 실리콘층과 광흡수를 위한 진성 실리콘층을 합성하는 공정 기술이다. 현재 박막 태양전지 산업에서 실리콘 박막 소재의 합성은 주로 PECVD법에 의해 이루어지고 있다. 그러나 스퍼터 공정을 이용한 실리콘 박막 합성 연구 또한 20년 이상의 오랜 기간 동안 연구되어 오고 있다. 스퍼터 공정을 이용한 실리콘 박막합성는 독성 가스를 사용하지 않으며, 디스플레이와 같은 기존의 소자 공정 기술을 채용할 수 있다는 장점을 가지고 있어 주목 받고 있다. 실제로 반응성 마그네트론 스퍼터링에 의해 제조된 실리콘 박막은 PECVD공정에 의한 실리콘 박막에 상응하는 우수한 광전자적 특성을 보인다. 스퍼터 공정에서는 박막 성장을 위한 수송 물질들이 열적 평형 상태에 근접한 라디칼들이라기 보다 대부분 고에너지 원자종과 이온들이 주류를 이루고 있어 합성된 실리콘 박막의 결함 제어가 어렵다는 문제가 있다. 박막 합성 기구의 규명을 통하여 이러한 문제를 해결하기 위한 시도들이 이루어 지고 있으며, 본 발표를 통하여 스퍼터 공정을 이용한 태양전지용 실리콘 박막 합성기술에 대한 현황을 소개하고자 한다.

  • PDF

Study of microwave anneal on solution-processed InZnO-based thin-film transistors with Ga, Hf and Zr carrier suppressors

  • Hong, Jeong-Yun;Lee, Sin-Hye;Jo, Won-Ju
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.263-263
    • /
    • 2016
  • 최근 반도체 시장에서는 저비용으로 고성능 박막 트랜지스터(TFT)를 제작하기 위한 다양한 기술들이 연구되고 있다. 먼저, 재료적인 측면에서는 비정질 상태에서도 높은 이동도와 가시광선 영역에서 투명한 특성을 가지는 산화물 반도체가 기존의 비정질 실리콘이나 저온 폴리실리콘을 대체하여 차세대 디스플레이의 구동소자용 재료로 많은 주목받고 있다. 또한, 공정적인 측면에서는 기존의 진공장비를 이용하는 PVD나 CVD가 아닌 대기압 상태에서 이루어지는 용액 공정이 저비용 및 대면적화에 유리하고 프리커서의 제조와 박막의 증착이 간단하다는 장점을 가지기 때문에 활발한 연구가 이루어지고 있다. 특히 산화물 반도체 중에서도 indium-gallium-zinc oxide (IGZO)는 비교적 뛰어난 이동도와 안정성을 나타내기 때문에 많은 연구가 진행되고 있지만, 산화물 반도체 기반의 박막 트랜지스터가 가지는 문제점 중의 하나인 문턱전압의 불안정성으로 인하여 상용화에 어려움을 겪고 있다. 따라서, 본 연구에서는 기존의 산화물 반도체의 불안정한 문턱전압의 문제점을 해결하기 위해 마이크로웨이브 열처리를 적용하였다. 또한, 기존의 IGZO에서 suppressor 역할을 하는 값비싼 갈륨(Ga) 대신, 저렴한 지르코늄(Zr)과 하프늄(Hf)을 각각 적용시켜 용액 공정 기반의 Zr-In-Zn-O (ZIZO) 및 Hf-In-Zn-O (HIZO) TFT를 제작하여 시간에 따른 문턱 전압의 변화를 비교 및 분석하였다. TFT 소자는 p-Si 위에 습식산화를 통하여 100 nm 두께의 $SiO_2$가 열적으로 성장된 기판 위에 제작되었다. 표준 RCA 세정을 진행하여 표면의 오염 및 자연 산화막을 제거한 후, Ga, Zr, Hf 각각 suppressor로 사용한 IGZO, ZIZO, HIZO 프리커서를 이용하여 박막을 형성시켰다. 그 후 소스/드레인 전극 형성을 위해 e-beam evaporator를 이용하여 Ti/Al을 5/120 nm의 두께로 증착하였다. 마지막으로, 후속 열처리로써 마이크로웨이브와 퍼니스 열처리를 진행하였다. 그 결과, 기존의 퍼니스 열처리와 비교하여 마이크로웨이브 열처리된 IGZO, ZIZO 및 HIZO 박막 트랜지스터는 모두 뛰어난 안정성을 나타냄을 확인하였다. 결론적으로, 본 연구에서 제안된 마이크로웨이브 열처리된 용액공정 기반의 ZIZO와 HIZO 박막 트랜지스터는 추후 디스플레이 산업에서 IGZO 박막 트랜지스터를 대체할 수 있는 저비용 고성능 트랜지스터로 적용될 것으로 기대된다.

  • PDF

High performance of fully transparent amorphous In-Ga-Zn-O junctionless Thin-Film-Transistor (TFT) by microwave annealing

  • Lee, Hyeon-U;An, Min-Ju;Jo, Won-Ju
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.208.1-208.1
    • /
    • 2015
  • 최근, 차세대 투명 디스플레이 구동소자로서 산화물 반도체를 이용한 Transparent Amorphous Oxide Semiconductor (TAOS) 기술이 큰 주목을 받고 있다. 산화물 반도체는 기존의 a-Si에 비해 우수한 전기적인 특성과 낮은 구동전압 그리고 넓은 밴드 갭으로 인한 투명성의 장점들이 있다. 그리고 낮은 공정 온도에서도 제작이 가능하기 때문에 유리나 플라스틱과 같은 다양한 기판에서도 박막 증착이 가능하다. 하지만 기존의 furnace를 이용한 열처리 방식은 낮은 온도에서 우수한 전기적인 특성을 내기 어려우며, 공정 시간이 길어지는 단점들이 있다. 따라서 본 연구에서는 산화물 반도체중 In-Ga-Zn-O (IGZO)와 In-Sn-O(ITO)를 각각 채널 층과 게이트 전극으로 이용하였다. 또한 마이크로웨이브 열처리 기술을 이용하여 기존의 열처리 방식에 비해 에너지 전달 효율이 높고 짧은 시간동안 저온 공정이 가능하며 우수한 전기적인 특성을 가지는 투명 박막 트랜지스터를 구현 하였다. 본 실험은 glass 기판위에서 진행되었으며, RF sputter를 이용하여 ITO를 150 nm 증착한 후, photo-lithography 공정을 통하여 하부 게이트 전극을 형성하였다. 이후에 RF sputter를 이용하여 SiO2 와 IGZO 를 각각 300, 50 nm 증착하였고, patterning 과정을 통하여 채널 영역을 형성하였다. 또한 소자의 전기적인 특성 향상을 위해 마이크로웨이브 열처리를 1000 Watt로 2 분간 진행 하였고, 비교를 위하여 기존 방식인 furnace 를 이용하여 N2 분위기에서 $400^{\circ}C$로 30분간 진행한 소자도 병행하였다. 그 결과 마이크로웨이브를 통해 열처리한 소자는 공정 온도가 $100^{\circ}C$ 이하로 낮기 때문에 glass 기판에 영향을 주지 않고 기존 furnace 열처리 한 소자보다 전체적으로 전기적인 특성이 우수한 것을 확인 하였다.

  • PDF

Full Color Top Emission AMOLED Displays on Flexible Metal Foil

  • Hack, Michael;Hewitt, Richard;Urbanik, Ken;Chwang, Anna;Brown, Julie J.;Lu, Jeng Ping;Shih, Chinwen;Ho, Jackson;Street, Bob;Ramos, Teresa;Rutherford, Nicole;Tognoni, Keith;Anderson, Bob;Huffman, Dave
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.305-308
    • /
    • 2006
  • Advanced mobile communication devices require a bright, high information content display in a small, light-weight, low power consumption package. For portable applications flexible (or conformable) and rugged displays will be the future. In this paper we outline our progress towards developing such a low power consumption active-matrix flexible OLED $(FOLED^{TM})$ display. We demonstrate full color 100 ppi QVGA active matrix OLED displays on flexible stainless steel substrates. Our work in this area is focused on integrating three critical enabling technologies. The first technology component is based on UDC's high efficiency long-lived phosphorescent OLED $(PHOLED^{TM})$ device technology, which has now been commercially demonstrated as meeting the low power consumption performance requirements for mobile display applications. Secondly, is the development of flexible active-matrix backplanes, and for this our team are employing PARC's Excimer Laser Annealed (ELA) poly-Si TFTs formed on metal foil substrates as this approach represents an attractive alternative to fabricating poly-Si TFTs on plastic for the realization of first generation flexible active matrix OLED displays. Unlike most plastics, metal foil substrates can withstand a large thermal load and do not require a moisture and oxygen permeation barrier. Thirdly, the key to reliable operation is to ensure that the organic materials are fully encapsulated in a package designed for repetitive flexing, and in this device we employ a multilayer thin film Barix encapsulation technology in collaboration with Vitex systems. Drive electronics and mechanical packaging are provided by L3 Displays.

  • PDF

Development of amorphous Si solar cell with narrow band gap for Tandem cell (Tandem cell 적용을 위한 narrow band gap을 갖는 a-Si 태양전지 개발)

  • Kim, Sunho;You, Dongjoo;Ahn, Seh-Won;Lee, Heonmin;Kim, Donghwan
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2010.06a
    • /
    • pp.63.1-63.1
    • /
    • 2010
  • 실리콘 박막 태양전지의 효율을 향상시키기 위해 밴드갭이 다른 흡수층을 적용한 tandem형 적층 태양전지를 이용하고 있다. 일반적으로 1.7eV이상의 밴드갭이 큰 비정질 실리콘을 이용하여 단파장의 빛을 흡수하고, 상대적으로 낮은 1.1eV 정도의 밴드갭을 갖는 미세결정 실리콘 층으로 장파장을 흡수하게 된다. 이렇게 연결된 tandem형 태양전지의 효율을 극대화하기 위해서는 각 태양전지에서 발생하는 전류 밀도를 일치시키는 것이 필요하다. 이를 위해 비정질 실리콘의 두께가 증가되는 경우가 있는데 이러한 경우 비정질 실리콘의 광열화 특성(Lihgt-induced degradation)으로 안정화 효율이 감소하게 된다. 따라서 비정질 실리콘 태양전지의 전류 밀도를 향상 시켜 두께를 최소화하는 것이 매우 중요하다. Tandem형 태양전지에서 비정질 실리콘 태양전지의 전류 밀도를 향상시키기 위해 두 개의 전지사이에 광 반사층을 적용하여 태양전지를 제조하게 된다. 이러한 경우 비정질 실리콘의 전류 밀도는 증가하지만, 광 반사 층의 장파장 흡수로 인하여 하부 태양전지의 전류 밀도 감소가 더 커지게 되어 전체 발생 전류 밀도는 오히려 감소하게 된다. 본 논문에서는 비정질 실리콘의 밴드갭을 제어하여 광 흡수 파장 영역 확대로 전류 밀도를 향상시키는 연구를 진행하였다. PECVD의 RF power 조건을 제어하여 1.75eV에서 1.67eV까지 밴드갭을 변화시켰다. 이와 같은 조건의 박막을 광 흡수층으로 갖는 p-i-n 구조의 비정질 실리콘 태양전지를 제작하였다. i층의 밴드갭이 감소됨에 따라 장파장 영역의 흡수가 확대되어 전류 밀도가 증가 하였지만, Voc의 감소가 컸다. 이는 i층의 밴드갭이 좁아짐에 따라 p층과의 불연속성이 커졌기 때문이다. 이러한 악영향을 줄이기 위해 p층과 i층 사이에 buffer층을 삽입하여 태양전지를 제작하였다. 이와 같은 최적의 buffer층 삽입을 통하여 불연속성을 줄임으로써 Voc의 상승효과를 확인하였다. 본 연구의 결과로 좁은 밴드갭을 갖는 광 흡수 층을 적용하여 전류 밀도를 향상시키고, 최적화된 buffer층 삽입으로 Voc를 향상시킴으로써 고효율의 비정질 실리콘 태양전지를 제작하였다. 이를 tandem형 태양전지에 적용할 경우 초기 효율뿐만 아니라 얇은 두께에서 제조할 수 있기 때문에 광열화 특성이 향상되어 안정화 효율의 증가를 가져올 수 있다.

  • PDF

[특별세션: 다기능성 나노박막 및 제조 공정] 원자/나노 복합구조 제어에 의한 다기능성 전자저항막기술

  • Sin, Yu-Ri;Gwak, Won-Seop;Gwon, Se-Hun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.504-504
    • /
    • 2011
  • 최근 디지털 프린팅 기술의 핵심기술로 떠오르고 있는 잉크젯 프린팅 기술은 최근 기존의 문서인쇄 뿐 아니라, 직물 인쇄, 태양전지 등의 다양한 반도체 소자 제조에 널리 활용되고 있으며, 점차 그 응용 분야를 넓혀가고 있다. 특히 thermal 방식의 잉크젯 피린팅 기술은 etching, thin film process, lithography등의 반도체 공정 기술을 이용하여 제작할 수 있기 때문에, 현재 잉크젯 프린팅 기술은 대부분 thermal 방식을 체택하고 있다. 이러한 thermal 잉크젯 프린팅 방법에서는 잉크를 토출시키기 위하여, 전기적 에너지를 열에너지로 전환하는 전자저항막층이 필수적으로 필요하게 되는데, 이러한 전자저항막층은 수백도가 넘는 고온 및 잉크와 접촉으로 인한 부식 및 산화 문제가 발생할 수 있는 열악한 환경에서 사용되므로, Ta, SiN과 같은 보호층을 필수적으로 필요로 한다. 그러나 최근 잉크젯 프린터의 고해상도 고속화, 대면적 인쇄성 등과 같은 다양한 요구 증가에 따라, 잉크젯 프린터의 저전력 구동이 이슈로 떠올라 열효율에 방해가 되는 보호층을 제거할 필요성이 제기되고 있다. 지금까지는 Poly-Si, $HfB_2$, TiN, TaAl, TaN 0.8 등의 물질들이 잉크젯 프린터용 전자저항막 물질로 연구되거나 실제로 사용되어져 왔으나, 이러한 물질들을 보호층을 제거하는 경우 쉽게 산화되거나, 부식되는 문제점을 가지고 있다. 따라서, 기존 전자저항막의 기능을 만족시키면서, 산화나 부식에 대한 강한 내성을 가져 보호층을 제거하더라도 안정적으로 구동이 가능한 하이브리드 기능성(히터 + 보호층)을 가지는 잉크젯 프린터용 전자저항막 물질의 개발이 시급한 실정이다. 본 연구에서는 자기조립특성을 가져 정밀제어가 가능한 원자층증착법(Atomic Layer Deposition)을 이용하여 원자/나노 단위의 미세 구조 컨트롤을 통해 내열 내산화 내부식성 저온도저항계수를 동시에 가지는 다기능성 전자저항막을 설계 및 개발하고자 하였다. 전자저항막 개발을 위하여 우수한 내부식 내산화성을 가지고 결정립 크기에 따른 온도저항계수 조절이 가능한 platinum group metal들과 전기 저항 및 내열성 향상을 위한 물질의 복합구조막을 원자증증착법으로 증착하였다. 또한, 전자저항막 증착시 미세구조와 공정 변수가 내부식성, 내산화성, 그리고 온도저항계수에 미치는 영향을 체계적으로 연구하여, proto-type의 inkjet printhead를 구현하였다.

  • PDF