• Title/Summary/Keyword: Reactive ion etch

Search Result 127, Processing Time 0.024 seconds

Fabrication of Photo Sensitive Graphene Transistor Using Quantum Dot Coated Nano-Porous Graphene

  • ;Lee, Jae-Hyeon;Choe, Sun-Hyeong;Im, Se-Yun;Lee, Jong-Un;Bae, Yun-Gyeong;Hwang, Jong-Seung;Hwang, Seong-U;Hwang, Dong-Mok
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.658-658
    • /
    • 2013
  • Graphene is an attractive material for various device applications due to great electrical properties and chemical properties. However, lack of band gap is significant hurdle of graphene for future electrical device applications. In the past few years, several methods have been attempted to open and tune a band gap of graphene. For example, researchers try to fabricate graphene nanoribbon (GNR) using various templates or unzip the carbon nanotubes itself. However, these methods generate small driving currents or transconductances because of the large amount of scattering source at edge of GNRs. At 2009, Bai et al. introduced graphene nanomesh (GNM) structures which can open the band gap of large area graphene at room temperature with high current. However, this method is complex and only small area is possible. For practical applications, it needs more simple and large scale process. Herein, we introduce a photosensitive graphene device fabrication using CdSe QD coated nano-porous graphene (NPG). In our experiment, NPG was fabricated by thin film anodic aluminum oxide (AAO) film as an etching mask. First of all, we transfer the AAO on the graphene. And then, we etch the graphene using O2 reactive ion etching (RIE). Finally, we fabricate graphene device thorough photolithography process. We can control the length of NPG neckwidth from AAO pore widening time and RIE etching time. And we can increase size of NPG as large as 2 $cm^2$. Thin CdSe QD layer was deposited by spin coatingprocess. We carried out NPG structure by using field emission scanning electron microscopy (FE-SEM). And device measurements were done by Keithley 4200 SCS with 532 nm laser beam (5 mW) irradiation.

  • PDF

A New Surface Micromachining Technology for Low Voltage Actuated Switch and Mirror Arrays (저전압 구동용 전기스위치와 미러 어레이 응용을 위한 새로운 표면미세가공기술)

  • Park, Sang-Jun;Lee, Sang-Woo;Kim, Jong-Pal;Yi, Sang-Woo;Lee, Sang-Chul;Kim, Sung-Un;Cho, Dong-Il
    • Proceedings of the KIEE Conference
    • /
    • 1998.07g
    • /
    • pp.2518-2520
    • /
    • 1998
  • Silicon can be reactive ion etched (RIE) either isotropically or anisotropically. In this paper, a new micromachining technology combining these two etching characteristics is proposed. In the proposed method, the fabrication steps are as follows. First. a polysilicon layer, which is used as the bottom electrode, is deposited on the silicon wafer and patterned. Then the silicon substrate is etched anisotropically to a few micrometer depth that forms a cavity. Then an PECVD oxide layer is deposited to passivate the cavity side walls. The oxide layers at the top and bottom faces are removed while the passivation layers of the side walls are left. Then the substrate is etched again but in an isotropic etch condition to form a round trench with a larger radius than the anisotropic cavity. Then a sacrificial PECVD oxide layer is deposited and patterned. Then a polysilicon structural layer is deposited and patterned. This polysilicon layer forms a pivot structure of a rocker-arm. Finally, oxide sacrificial layers are etched away. This new micromachining technology is quite simpler than conventional method to fabricate joint structures, and the devices that are fabricated using this technology do not require a flexing structure for motion.

  • PDF

Fabrication of a Pressure Difference Type Gas Flow Sensor using ICP-RIE Technology (ICP-RIE 기술을 이용한 차압형 가스유량센서 제작)

  • Lee, Young-Tae;Ahn, Kang-Ho;Kwon, Yong-Taek;Takao, Hidekuni;Ishida, Makoto
    • Journal of the Semiconductor & Display Technology
    • /
    • v.7 no.1
    • /
    • pp.1-5
    • /
    • 2008
  • In this paper, we fabricated pressure difference type gas flow sensor using only dry etching technology by ICP-RIE(inductive coupled plasma reactive ion etching). The sensor's structure consists of a common shear stress type piezoresistive pressure sensor with an orifice fabricated in the middle of the sensor diaphragm. Generally, structure like diaphragm is fabricated by wet etching technology using TMAH, but we fabricated diaphragm by only dry etching using ICP-RIE. To equalize the thickness of diaphragm we applied insulator($SiO_2$) layer of SOI(Si/$SiO_2$/Si-sub) wafer as delay layer of dry etching. Size of fabricated diaphragm is $1000{\times}1000{\times}7\;{\mu}m^3$ and overall chip $3000{\times}3000{\times}7\;{\mu}m^3$. We measured the variation of output voltage toward the change of gas pressure to analyze characteristics of the fabricated sensor. Sensitivity of fabricated sensor was relatively high as about 1.5mV/V kPa at 1kPa full-scale. Nonlinearity was below 0.5%F.S. Over-pressure range of the fabricated sensor is 100kPa or more.

  • PDF

CF4/O2/Ar Plasma Resistance of Al2O3 Free Multi-components Glasses (Al2O3 Free 다성분계 유리의 CF4/O2/Ar 내플라즈마 특성)

  • Min, Kyung Won;Choi, Jae Ho;Jung, YoonSung;Im, Won Bin;Kim, Hyeong-Jun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.3
    • /
    • pp.57-62
    • /
    • 2022
  • The plasma resistance of multi-component glasses containing La, Gd, Ti, Zn, Y, Zr, Nb, and Ta was analyzed in this study. The plasma etching was performed via inductively coupled plasma-reactive ion etching (ICP-RIE) using CF4/O2/Ar mixed gas. After the reaction, the glass with a low fluoride sublimation temperature and high content of P, Si, and Ti elements showed a high etching rate. On the other hand, the glass containing a high fluoride sublimation temperature component such as Ca, La, Gd, Y, and Zr exhibited high plasma resistance because the etch rate was lower than that of sapphire. Glass with low plasma resistance increased surface roughness after etching or nanoholes were formed on the surface, but glass with high plasma resistance showed little change in surface microstructure. Thus, the results of this study demonstrate the potential for the development of plasma-resistant glasses (PRGs) with other compositions besides alumino-silicate glasses, which are conventionally referred to as plasma-resistant glasses.

Formation of Passivation Layer and Its Effect on the Defect Generation during Trench Etching (트렌티 식각시 식각 방지막의 형성과 이들이 결함 생성에 미치는 영향)

  • Lee, Ju-Wook;Kim, Sang-Gi;Kim, Jong-Dae;Koo, Jin-Gon;Lee, Jeong-Yong;Nam, Kee-Soo
    • Korean Journal of Materials Research
    • /
    • v.8 no.7
    • /
    • pp.634-640
    • /
    • 1998
  • A well- shaped trench was investigated in view of the defect distribution along trench sidewall and bottom using high resolution transmission electron microscopy. The trench was formed by HBr plasma and additive gases in magnetically enhanced reactive ion etching system. Adding $0_2$ and other additive gases into HBr plasma makes it possible to eliminate sidewall undercut and lower surface roughness by forming the passivation layer of lateral etching, resulted in the well filled trench with oxide and polysilicon by subsequent deposition. The passivation layer of lateral etching was mainly composed of $SiO_xF_y$ $SiO_xBr_y$ confirmed by chemical analysis. It also affects the generation and distribution of lattice defects. Most of etch induced defects were found in the edge region of the trench bottom within the depth of 10$\AA$. They are generally decreased with the thickness of residue layer and almost disappeared below the uni¬formly thick residue layer. While the formation of crystalline defects in silicon substrate mainly depends on the incident angle and energy of etch species, the region of surface defects on the thickness of residue layer formed during trench etching.

  • PDF

Vortical Etching Characteristics of SrBi$_2$Ta$_2$O$_9$ thin Films Depending on Ar/Cl$_2$ Ratios and RF/DC Power Densities (SrBi$_2$Ta$_2$O$_9$ 박막에 있어서 Ar/C1$_2$가스의 비율 및 RF/DC Power Density의 변화에 따른 수직 식각의 특성연구)

  • 황광명;이창우;김성일;김용태;권영석;심선일
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.8 no.3
    • /
    • pp.49-53
    • /
    • 2001
  • Vortical etching experiments of ($SrBi_2Ta_2O_9$)/Si thin films have been performed by using the inductively coupled plasma reactive ion etching (ICP-ME) apparatus. The purposes of these experiments are to get the effective area of vertical surface. Because this technology is very important to get good qualities of ferroelectric gate structure, capacitor and the minimum parasitic effects related to the excellent performances of the FRAM (Ferroelectric Random Access Memory) device. The reacting gases were Ar and $Cl_2$gases, and various $Ar/C1_2$flow ratios were used. The etching experiments were carried out at various RF powers such as 700, 700, 500W and at various DC powers such as 200, 150, 100, 50W, respectively. The maximum etch rate of $SrBi_2Ta_2O_9$/Si thin films was 1050 A/min at the $Ar/C1_2$ gas ratio of 20/16, RF power of 700 W and DC power of 200 W. From the SEM (scanning electron microscopy) image of the SBT thin films, the wall angle was as good as about $82^{\circ}$.

  • PDF

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF