• Title/Summary/Keyword: RF plasma processing

Search Result 83, Processing Time 0.03 seconds

Effects of Atmospheric Pressure Microwave Plasma on Surface of SUS304 Stainless Steel

  • Shin, H.K.;Kwon, H.C.;Kang, S.K.;Kim, H.Y.;Lee, J.K.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.268-268
    • /
    • 2012
  • Atmospheric pressure microwave induced plasmas are used to excite and ionize chemical species for elemental analysis, for plasma reforming, and for plasma surface treatment. Microwave plasma differs significantly from other plasmas and has several interesting properties. For example, the electron density is higher in microwave plasma than in radio-frequency (RF) or direct current (DC) plasma. Several types of radical species with high density are generated under high electron density, so the reactivity of microwave plasma is expected to be very high [1]. Therefore, useful applications of atmospheric pressure microwave plasmas are expected. The surface characteristics of SUS304 stainless steel are investigated before and after surface modification by microwave plasma under atmospheric pressure conditions. The plasma device was operated by power sources with microwave frequency. We used a device based on a coaxial transmission line resonator (CTLR). The atmospheric pressure plasma jet (APPJ) in the case of microwave frequency (880 MHz) used Ar as plasma gas [2]. Typical microwave Pw was 3-10 W. To determine the optimal processing conditions, the surface treatment experiments were performed using various values of Pw (3-10 W), treatment time (5-120 s), and ratios of mixture gas (hydrogen peroxide). Torch-to-sample distance was fixed at the plasma edge point. Plasma treatment of a stainless steel plate significantly affected the wettability, contact angle (CA), and free energy (mJ/$m^2$) of the SUS304 surface. CA and ${\gamma}$ were analyzed. The optimal surface modification parameters to modify were a power of 10 W, a treatment time of 45 s, and a hydrogen peroxide content of 0.6 wt% [3]. Under these processing conditions, a CA of just $9.8^{\circ}$ was obtained. As CA decreased, wettability increased; i.e. the surface changed from hydrophobic to hydrophilic. From these results, 10 W power and 45 s treatment time are the best values to minimize CA and maximize ${\gamma}$.

  • PDF

Modeling and Analysis of Fine Particle Behavior in Ar Plasma (모델링을 통한 Ar 플라즈마 중의 미립자 운동에 관한 연구)

  • 임장섭;소순열
    • Journal of the Korean Institute of Illuminating and Electrical Installation Engineers
    • /
    • v.18 no.1
    • /
    • pp.52-59
    • /
    • 2004
  • Recently, many researches for fine particles plasma have been focused on the fabrication of the new devices and materials in micro-electronic industry, although reduction or elimination of fine particles was interested in plasma processing until now on. In order to enhance their utilization, it is necessary to control and analyze fine particle behavior. Therefore, we developed simulation model of fine particles in RF Ar plasmas. This model consists of the calculation parts of plasma structure using a two-dimensional fluid model and of fine particle behavior. The motion of fine particles was derived from the charge amount on the fine particles and forces applied to them. In this paper, Ar plasma properties using two-dimensional fluid model without fine particles were calculated at power source voltage 15[V] and pressure 0.5[Torr]. Time-averaged spatial distributions of Ar plasma were shown. The process on the formation of Coulomb crystal of fine particles was investigated and it was explained by combination of ion drag and electrostatic forces. And also analysis on the forces of fine particles was presented.

헬리콘 플라즈마의 연구 현황

  • 엄세훈;장홍영
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.183-183
    • /
    • 2000
  • Aigrain에 의해 Helicon이라는 이름이 명명된 이후, helicon은 저온의 금속과 같은 높은 전도도(conductivity)를 갖는 매질이나 강한 자기장이 걸려있는 plasma를 전파해 나가는 저주파 전자기장을 지칭해왔다. 이온화된 개스에서 이러한 전자기장은 전자 공명 주파수(electron cyclotron frequency)와 이온 공명 주파수(ion cyclotron frequency) 사이의 주파수로 전파하며 전리층 (ionosphere)을 통과하며 발생하는 가청 주파수 영역대의 음조가 강하하는 현상에 의해 low-frequency whistler라고도 불린다. Helicon wave plasma는 Boswell에 의해 처음 발생된 후, 높은 이온화율(~100%)로 인해 많은 연구가 이루어져 왔다. 1985년에 Chen은 helicon plasma의 높은 이온화율을 설명하기 위해 Landaudamping을 제시하였다. 이러한 설명은 1997년에 Shamrai에 의해 TG mode가 도입되기 전까지 직접적인 실험결과 없이 helicon plasma 발생의 mechanism으로 받아들여졌다. shamrai의 이론에 의하면 정전기파(electrostatic wave)는 plasma의 표면(surface)에서 강하게 감쇄되어 energy를 전달하게 된다. Cho는 radial density 분포가 외각보다 중심이 높을 경우 TG wave의 power 전달이 중심에서 일어날 수 있음을 계산하였다. Helicon plasma의 특성은 높은 이온화율에 의한 높은 밀도($\geq$1012cm3), 1-2 kW의 rf power에서 상대적으로 낮은 전자 온도( 4eV), $\omega$ci $\omega$LH<$\omega$ $\omega$ce $\omega$pe 영역대의 주파수, 자기장 50-1200 Gauss, 압력 1-10 mTorr로 특정지을 수 있다. 이러한 외부분수들의 조건에 k라 helicon plasma는 여러 종류의 mode로 존재한다. Degeling은 이러한 mode의 변화를 capacitive mode, inductive mode, 그리고 helicon mode(wave mode)의 세가지 부분으로 구분하였다. Helicon plasma가 갖는 높은 이온화율은 여러 가지 응용으로의 가능성을 가지고 있다. 그 예로 plasma processing, plasma wave에 의한 입자 가속, 그리고 가스 레이저 활성 매질 발생 등이 있다. 특히 plasma processing의 경우 helicon plasma는 높은 밀도, 비교적 낮은 자기장, remote operation 등이 가능하다는 점에서 현재 연구가 활발히 진행되고 있다. 상업용으로도 PMT와 Lucas Signatone Corp.에 서 helicon source가 제작되었다. 또한 높은 해리율을 이용하여 저유전 물질인 SiOF의 증착에서 적용되고 있다. 이 외에도 다수의 연구결과들이 발표되었다.

  • PDF

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF

Helicon Discharge Plasma Source and Laser Thomson Scattering System in KRISS

  • Seo, Byeong-Hun;Yu, Sin-Jae;Kim, Jeong-Hyeong;Seong, Dae-Jin;Jang, Hong-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.149-149
    • /
    • 2012
  • We introduce Helicon discharge plasma source and Laser Thomson scattering system recently finished an installation in KRISS. Laser Thomson scattering method is promising for diagnostics in Helicon plasma because a measurement by electrical probe typically used has significant errors due to the gyromotion of electrons induced by high magnetic field. However, we found that LTS is affected by magnetic field so that we applied the normalization method for processing data and the results show a clear Maxwellian distribution at various conditions of magnetic field and RF power at low energy part without distortion.

  • PDF

Modeling and Experimental Study of Radio-frequency Glow Discharges and Applications for Plasma Processing

  • Kang, Nam-Jun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.179-179
    • /
    • 2012
  • Low pressure radio-frequency glow discharges are investigated using theoretical modeling and various experimental diagnostic methods. In the calculations, global models and transformer models are developed to understand the chemical kinetics as well as the electrical properties such as the effective collision frequency, the heating mechanism and the power transferred to the plasma electrons. In addition, Boltzmann equation solver is used to compensate the effect of the electron energy distribution function (EEDF) shape in the global model, and the general expression of energy balance for non-Maxwellian electrons is developed. In the experiments, a number of traditional plasma diagnostic methods are used to compare with calculated results such as Langmuir probe, optical emission spectroscopy (OES), optical absorption spectroscopy (OAS) and two-photon absorption laser-induced fluorescence (TALIF). These theoretical and experimental methods are applied to understand several interesting phenomena in low pressure ICP discharges. The chemical and physical properties of low pressure ICP discharges are described and the applications of these methods are discussed.

  • PDF

Study of Dry Etching of SnO thin films using a Inductively Coupled Plasma (Inductively Coupled Plasma를 이용한 SnO 박막의 식각 특성 연구)

  • Kim, Su-Kon;Park, Byung-Ok;Lee, Joon-Hyung;Kim, Jeong-Joo;Heo, Young-Woo
    • Journal of the Korean institute of surface engineering
    • /
    • v.49 no.1
    • /
    • pp.98-103
    • /
    • 2016
  • The dry etching characteristics of SnO thin films were investigated using inductively coupled plasma (ICP) in Ar, $CF_4$, $Cl_2$ chemistries. the SnO thin films were deposited by reactive rf magnetron sputtering with Sn metal target. In order to study the etching rates of SnO, the processing factors of processing pressure, source power, bias power, and etching gas were controlled. The etching behavior of SnO films under various conditions was obtained and discussed by comparing to that of $SiO_2$ films. In our results, the etch rate of SnO film was obtained as 94nm/min. The etch rates were mainly affected by physical etching and the contribution of chemical etching to SnO films appeared relatively week.

Novel synthesis of nanocrystalline thin films by design and control of deposition energy and plasma

  • Han, Jeon G.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.77-77
    • /
    • 2016
  • Thin films synthesized by plasma processes have been widely applied in a variety of industrial sectors. The structure control of thin film is one of prime factor in most of these applications. It is well known that the structure of this film is closely associated with plasma parameters and species of plasma which are electrons, ions, radical and neutrals in plasma processes. However the precise control of structure by plasma process is still limited due to inherent complexity, reproducibility and control problems in practical implementation of plasma processing. Therefore the study on the fundamental physical properties that govern the plasmas becomes more crucial for molecular scale control of film structure and corresponding properties for new generation nano scale film materials development and application. The thin films are formed through nucleation and growth stages during thin film depostion. Such stages involve adsorption, surface diffusion, chemical binding and other atomic processes at surfaces. This requires identification, determination and quantification of the surface activity of the species in the plasma. Specifically, the ions and neutrals have kinetic energies ranging from ~ thermal up to tens of eV, which are generated by electron impact of the polyatomic precursor, gas phase reaction, and interactions with the substrate and reactor walls. The present work highlights these aspects for the controlled and low-temperature plasma enhanced chemical vapour disposition (PECVD) of Si-based films like crystalline Si (c-Si), Si-quantum dot, and sputtered crystalline C by the design and control of radicals, plasmas and the deposition energy. Additionally, there is growing demand on the low-temperature deposition process with low hydrogen content by PECVD. The deposition temperature can be reduced significantly by utilizing alternative plasma concepts to lower the reaction activation energy. Evolution in this area continues and has recently produced solutions by increasing the plasma excitation frequency from radio frequency to ultra high frequency (UHF) and in the range of microwave. In this sense, the necessity of dedicated experimental studies, diagnostics and computer modelling of process plasmas to quantify the effect of the unique chemistry and structure of the growing film by radical and plasma control is realized. Different low-temperature PECVD processes using RF, UHF, and RF/UHF hybrid plasmas along with magnetron sputtering plasmas are investigated using numerous diagnostics and film analysis tools. The broad outlook of this work also outlines some of the 'Grand Scientific Challenges' to which significant contributions from plasma nanoscience-related research can be foreseen.

  • PDF

Low-temperature synthesis of nc-Si/a-SiNx: H quantum dot thin films using RF/UHF high density PECVD plasmas

  • Yin, Yongyi;Sahu, B.B.;Lee, J.S.;Kim, H.R.;Han, Jeon G.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.341-341
    • /
    • 2016
  • The discovery of light emission in nanostructured silicon has opened up new avenues of research in nano-silicon based devices. One such pathway is the application of silicon quantum dots in advanced photovoltaic and light emitting devices. Recently, there is increasing interest on the silicon quantum dots (c-Si QDs) films embedded in amorphous hydrogenated silicon-nitride dielectric matrix (a-SiNx: H), which are familiar as c-Si/a-SiNx:H QDs thin films. However, due to the limitation of the requirement of a very high deposition temperature along with post annealing and a low growth rate, extensive research are being undertaken to elevate these issues, for the point of view of applications, using plasma assisted deposition methods by using different plasma concepts. This work addresses about rapid growth and single step development of c-Si/a-SiNx:H QDs thin films deposited by RF (13.56 MHz) and ultra-high frequency (UHF ~ 320 MHz) low-pressure plasma processing of a mixture of silane (SiH4) and ammonia (NH3) gases diluted in hydrogen (H2) at a low growth temperature ($230^{\circ}C$). In the films the c-Si QDs of varying size, with an overall crystallinity of 60-80 %, are embedded in an a-SiNx: H matrix. The important result includes the formation of the tunable QD size of ~ 5-20 nm, having a thermodynamically favorable <220> crystallographic orientation, along with distinct signatures of the growth of ${\alpha}$-Si3N4 and ${\beta}$-Si3N4 components. Also, the roles of different plasma characteristics on the film properties are investigated using various plasma diagnostics and film analysis tools.

  • PDF

Efficient Multicasting Mechanism for Mobile Computing Environment Machine learning Model to estimate Nitrogen Ion State using Traingng Data from Plasma Sheath Monitoring Sensor (Plasma Sheath Monitoring Sensor 데이터를 활용한 질소이온 상태예측 모형의 기계학습)

  • Jung, Hee-jin;Ryu, Jinseung;Jeong, Minjoong
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2022.05a
    • /
    • pp.27-30
    • /
    • 2022
  • The plasma process, which has many advantages in terms of efficiency and environment compared to conventional process methods, is widely used in semiconductor manufacturing. Plasma Sheath is a dark region observed between the plasma bulk and the chamber wall surrounding it or the electrode. The Plasma Sheath Monitoring Sensor (PSMS) measures the difference in voltage between the plasma and the electrode and the RF power applied to the electrode in real time. The PSMS data, therefore, are expected to have a high correlation with the state of plasma in the plasma chamber. In this study, a model for predicting the state of nitrogen ions in the plasma chamber is training by a deep learning machine learning techniques using PSMS data. For the data used in the study, PSMS data measured in an experiment with different power and pressure settings were used as training data, and the ratio, flux, and density of nitrogen ions measured in plasma bulk and Si substrate were used as labels. The results of this study are expected to be the basis of artificial intelligence technology for the optimization of plasma processes and real-time precise control in the future.

  • PDF