• 제목/요약/키워드: RF C-V

검색결과 575건 처리시간 0.046초

CMOS 소자 응용을 위한 Plasma doping과 Silicide 형성

  • 최장훈;도승우;서영호;이용현
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.456-456
    • /
    • 2010
  • CMOS 소자가 서브마이크론($0.1\;{\mu}m$) 이하로 스케일다운 되면서 단채널 효과(short channel effect), 게이트 산화막(gate oxide)의 누설전류(leakage current)의 증가와 높은 직렬저항(series resistance) 등의 문제가 발생한다. CMOS 소자의 구동전류(drive current)를 높이고, 단채널 효과를 줄이기 위한 가장 효율적인 방법은 소스 및 드레인의 얕은 접합(shallow junction) 형성과 직렬 저항을 줄이는 것이다. 플라즈마 도핑 방법은 플라즈마 밀도 컨트롤, 주입 바이어스 전압 조절 등을 통해 저 에너지 이온주입법보다 기판 손상 및 표면 결함의 생성을 억제하면서 고농도로 얕은 접합을 형성할 수 있다. 그리고 얕은 접합을 형성하기 위해 주입된 불순물의 활성화와 확산을 위해 후속 열처리 공정은 높은 온도에서 짧은 시간 열처리하여 불순물 물질의 활성화를 높여주면서 열처리로 인한 접합 깊이를 얕게 해야 한다. 그러나 접합의 깊이가 줄어듦에 따라서 소스 및 드레인의 표면 저항(sheet resistance)과 접촉저항(contact resistance)이 급격하게 증가하는 문제점이 있다. 이러한 표면저항과 접촉저항을 줄이기 위한 방안으로 실리사이드 박막(silicide thin film)을 형성하는 방법이 사용되고 있다. 본 논문에서는 (100) p-type 웨이퍼 He(90 %) 가스로 희석된 $PH_3$(10 %) 가스를 사용하여 플라즈마 도핑을 실시하였다. 10 mTorr의 압력에서 200 W RF 파워를 인가하여 플라즈마를 생성하였고 도핑은 바이어스 전압 -1 kV에서 60 초 동안 실시하였다. 얕은 접합을 형성하기 위한 불순물의 활성화는 ArF(193 nm) excimer laser를 통해 $460\;mJ/cm^2$의 에니지로 열처리를 실시하였다. 그리고 낮은 접촉비저항과 표면저항을 얻기 위해 metal sputter를 통해 TiN/Ti를 $800/400\;{\AA}$ 증착하고 metal RTP를 사용하여 실리사이드 형성 온도를 $650{\sim}800^{\circ}C$까지 60 초 동안 열처리를 실시하여 $TiSi_2$ 박막을 형성하였다. 그리고 $TiSi_2$의 두께를 측정하기 위해 TEM(Transmission Electron Microscopy)을 측정하였다. 화학적 결합상태를 분석하기 위해 XPS(X-ray photoelectronic)와 XRD(X-ray diffraction)를 측정하였다. 접촉비저항, 접촉저항과 표면저항을 분석하기 위해 TLM(Transfer Length Method) 패턴을 제작하여 I-V 특성을 측정하였다. TEM 측정결과 $TiSi_2$의 두께는 약 $580{\AA}$ 정도이고 morphology는 안정적이고 실리사이드 집괴 현상은 발견되지 않았다. XPS와 XRD 분석결과 실리사이드 형성 온도가 $700^{\circ}C$에서 C54 형태의 $TiSi_2$ 박막이 형성되었고 가장 낮은 접촉비저항과 접촉저항 값을 가진다.

  • PDF

Improvement of Electrical Characteristics in Double Gate a-IGZO Thin Film Transistor

  • 이현우;조원주
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.311-311
    • /
    • 2016
  • 최근 고성능 디스플레이 개발이 요구되면서 기존 비정질 실리콘(a-Si)을 대체할 산화물 반도체에 대한 연구 관심이 급증하고 있다. 여러 종류의 산화물 반도체 중 a-IGZO (amorphous indium-gallium-zinc oxide)가 높은 전계효과 이동도, 저온 공정, 넓은 밴드갭으로 인한 투명성 등의 장점을 가지며 가장 연구가 활발하게 보고되고 있다. 기존에는 SG(단일 게이트) TFT가 주로 제작 되었지만 본 연구에서는 DG(이중 게이트) 구조를 적용하여 고성능의 a-IGZO 기반 박막 트랜지스터(TFT)를 구현하였다. SG mode에서는 하나의 게이트가 채널 전체 영역을 제어하지만, double gate mode에서는 상, 하부 두 개의 게이트가 동시에 채널 영역을 제어하기 때문에 채널층의 형성이 빠르게 이루어지고, 이는 TFT 스위칭 속도를 향상시킨다. 또한, 상호 모듈레이션 효과로 인해 S.S(subthreshold swing)값이 낮아질 뿐만 아니라, 상(TG), 하부 게이트(BG) 절연막의 계면 산란 현상이 줄어들기 때문에 이동도가 향상되고 누설전류 감소 및 안정성이 향상되는 효과를 얻을 수 있다. Dual gate mode로 동작을 시키면, TG(BG)에는 일정한 positive(or negative)전압을 인가하면서 BG(TG)에 전압을 가해주게 된다. 이 때, 소자의 채널층은 depletion(or enhancement) mode로 동작하여 다른 전기적인 특성에는 영향을 미치지 않으면서 문턱 전압을 쉽게 조절 할 수 있는 장점도 있다. 제작된 소자는 p-type bulk silicon 위에 thermal SiO2 산화막이 100 nm 형성된 기판을 사용하였다. 표준 RCA 클리닝을 진행한 후 BG 형성을 위해 150 nm 두께의 ITO를 증착하고, BG 절연막으로 두께의 SiO2를 300 nm 증착하였다. 이 후, 채널층 형성을 위하여 50 nm 두께의 a-IGZO를 증착하였고, 소스/드레인(S/D) 전극은 BG와 동일한 조건으로 ITO 100 nm를 증착하였다. TG 절연막은 BG 절연막과 동일한 조건에서 SiO2를 50 nm 증착하였다. TG는 S/D 증착 조건과 동일한 조건에서, 150 nm 두께로 증착 하였다. 전극 물질과, 절연막 물질은 모두 RF magnetron sputter를 이용하여 증착되었고, 또한 모든 patterning 과정은 표준 photolithography, wet etching, lift-off 공정을 통하여 이루어졌다. 후속 열처리 공정으로 퍼니스에서 질소 가스 분위기, $300^{\circ}C$ 온도에서 30 분 동안 진행하였다. 결과적으로 $9.06cm2/V{\cdot}s$, 255.7 mV/dec, $1.8{\times}106$의 전계효과 이동도, S.S, on-off ratio값을 갖는 SG와 비교하여 double gate mode에서는 $51.3cm2/V{\cdot}s$, 110.7 mV/dec, $3.2{\times}108$의 값을 나타내며 훌륭한 전기적 특성을 보였고, dual gate mode에서는 약 5.22의 coupling ratio를 나타내었다. 따라서 산화물 반도체 a-IGZO TFT의 이중게이트 구조는 우수한 전기적 특성을 나타내며 차세대 디스플레이 시장에서 훌륭한 역할을 할 것으로 기대된다.

  • PDF

Wide Bandgap 박막 태양전지 제작을 위한 P-type a-$SiO_x$:H layer 최적화에 관한 연구

  • 윤기찬;김영국;박승만;박진주;이선화;안시현;이준신
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.153-153
    • /
    • 2010
  • p-i-n 형 비정질 실리콘 박막 태양전지에서 p층은 창물질(window material)로서 전기 전도도가 크고, 빛 흡수가 적어야한다. p층의 두께가 얇으면 p층 전체가 depletion layer가 되고 충분한 diffusion potential을 얻을 수 없어 open-circuit voltage ($V_{oc}$)가 작아진다. 반대로 p층 두께가 두꺼워지면 빛 흡수가 증가하고, 표면 재결합이 문제가 되어 변환효율이 감소한다. 밴드갭이 큰 물질로 창층을 제작하게 되면 보다 짧은 파장의 입사광이 직접 i층을 비추므로 Short-circuit current ($I_{sc}$) 와 fill factor를 증가시킬 수 있다. 하여 본 연구에서는 기존의 창층으로 사용되는 Boron을 doping한 p-type a-Si:H 대신에 $N_2O$를 첨가한 p-type a-$SiO_x$:H의 $N_2O$ flow rate에 따른 밴드갭의 변화에 관한 연구를 수행하였다. p-type a-$SiO_x$:H Layer는 $SiH_4$, $H_2$, $N_2O$, $B_2H_6$ 가스를 혼합하여 증착하게 되는데 $SiH_4$, 가스와 $H_2$ 가스의 혼합비는 1:20, $B_2H_6$ 농도는 0.5%로 고정 하였으며 $N_2O$의 flow rate을 가변하며 증착하였다. $N_2O$의 가변조건은 5에서 50sccm으로 가변하여 증착하며 일반적으로 사용되는 RF-PECVD (13.56MHz)를 이용하였고 증착 온도는 175도, 전극간의 거리는 40mm, 파워와 압력은 30W, 700mTorr로 고정하여 진행하였다. 전기적 특성을 알아보기 위해 eagle 2000 Glass를 사용하였고 구조적 특성은 p-type wafer를 사용하여 각각 대략 200nm의 두께로 증착하였다. 증착 두께는 Ellipsometry를 이용하였으며 전기 전도도는 Agilent사의 4156c를 구조적특성은 FT-IR을 사용하여 측정하였다. Conductivity(${\sigma}_d$)는 $N_2O$가 증가함에 따라 $8.73\;{\times}\;10^{-6}$에서 $5.06\;{\times}\;10^{-7}$으로 감소하였고 optical bandgap ($E_{opt}$)은 1.71eV에서 2.0eV로 증가함을 알 수 있었다. 또한 reflective index(n)의 경우는 4.32에서 3.52로 감소함을 나타내었다. 기존의 p-type a-Si:H에 비해 상당한 $E_{opt}$을 가지므로 빛 흡수에 의한 손실을 줄임으로서 $V_oc$를 향상 시킬 수 있으며 동시에 짧은 파장에서의 입사광이 직접 i층을 비추므로 $I_{sc}$와 FF를 향상 시킬 수 있으리라 예상된다. 다소 낮은 전도도만 개선한다면 고효율의 박막 태양전지를 제작 할 수 있을 것으로 기대된다.

  • PDF

Annelaing Effects on the Dielectric Properties of the (Ba, Sr) $TiO_3$Films on $RuO_2$Bottom Electrodes

  • Park, Young-Chul;Lee, Joon;Lee, Byung-Soo
    • The Korean Journal of Ceramics
    • /
    • 제3권4호
    • /
    • pp.274-278
    • /
    • 1997
  • (Ba, Sr) TiO$_3$(BST) thin films were prepared on RuO$_2$/Si substrates by rf magnetron sputtering and annealing was followed at temperatures ranging from 550 to 80$0^{\circ}C$ in $N_2$or $O_2$atmosphere. The effects of annealing conditions on the properties of BST film deposited on RuO$_2$bottom electrodes were investigated. It was found that the crystallinity. surface roughness, and grain size of BST films vary with the annealing temperature but they are not dependent upon the annealing atmosphere. The flat region in the current-voltage (I-V) curves of BST capacitors shortened with increasing annealing temperature under both atmospheres. This is believed to be due to the lowering of potential barrier caused by unstable interface and the increase of charge The shortening of the flat region by $O_2$annealing was more severe than that by $N_2$-annealing. As a result, there was no flat region when the films were annealed at 700 and 80$0^{\circ}C$ in $O_2$atmosphere. The dielectric properties of BST films were improved by annealing in either atmosphere. however, a degradation with frequency was observed when the films were annealed at relatively high temperature under $O_2$atmosphere.

  • PDF

강유전체$Ba_{1-x}Sr_xTiO_3$ 박막의 제조 및 상부전극재료에 따른 전기적 특성 (Deposition $Ba_{1-x}Sr_xTiO_3$Thin Films and Electrical Properties with Various Materials Top Electrodes)

  • 박춘배;김덕규;전장배
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제48권6호
    • /
    • pp.410-415
    • /
    • 1999
  • $Ba_{1-x}Sr_xTiO_3$ thin films with various ratio of Sr (X = 0.4, 0.5, 0.6) were grown $Pt/TiN/SiO_2/Si$ subastrate by RF magnetron sputtering deposition. As, Ag, and Cu films were deposited on $Ba_{1-x}Sr_xTiO_3$ thin films as top electrodes by using a thermal evaporator. The electrical properties of $Ba_{1-x}Sr_xTiO_3$ thin films for various compositions were characterized and the physical properties at interface between $Ba_{1-x}Sr_xTiO_3$ thin films and top electrodes were evaluated in terms of the work function difference. At x =0.5, the degradation of capacitance is lower to the other compositions. As negative biasapplied, the specimen with Cu top electrode has board saturation region and low leakage current since work function of Cu is bigger than other electrodes.$ Ba_{0.5}Sr_{0.5}TiO_3$ thin films with Cu top electrode, the dielectric constant was measured to the value of 354 at 1 kHz and the leakage current was obtained to the value of $5.26\times10^{-6}A/cm2$ at the forward bias of 2 V.

  • PDF

Growth of AlN/GaN HEMT structure Using Indium-surfactant

  • Kim, Jeong-Gil;Won, Chul-Ho;Kim, Do-Kywn;Jo, Young-Woo;Lee, Jun-Hyeok;Kim, Yong-Tae;Cristoloveanu, Sorin;Lee, Jung-Hee
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제15권5호
    • /
    • pp.490-496
    • /
    • 2015
  • We have grown AlN/GaN heterostructure which is a promising candidate for mm-wave applications. For the growth of the high quality very thin AlN barrier, indium was introduced as a surfactant at the growth temperature varied from 750 to $1070^{\circ}C$, which results in improving electrical properties of two-dimensional electron gas (2DEG). The heterostructure with barrier thickness of 7 nm grown at of $800^{\circ}C$ exhibited best Hall measurement results; such as sheet resistance of $215{\Omega}/{\Box}$electron mobility of $1430cm^2/V{\cdot}s$, and two-dimensional electron gas (2DEG) density of $2.04{\times}10^{13}/cm^2$. The high electron mobility transistor (HEMT) was fabricated on the grown heterostructure. The device with gate length of $0.2{\mu}m$ exhibited excellent DC and RF performances; such as maximum drain current of 937 mA/mm, maximum transconductance of 269 mS/mm, current gain cut-off frequency of 40 GHz, and maximum oscillation frequency of 80 GHz.

탄화규소(SiC) 반도체소자의 동향 (Trend of SiC Power Semiconductor)

  • 김상철;방욱;서길수;김기현;김형우;김남균;김은동
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.7-12
    • /
    • 2004
  • 탄화규소 전력반도체 소자는 실리콘 전력반도체 소자에 비해 우수한 물질특성을 갖고 있어 성능 측면에서 뿐 만 아니라 전력변환장비의 크기를 획기적으로 줄일 수 있는 새로운 반도체 소자이다. 특히 unipolar 계열의 소자에서 괄목할 만한 특성을 보이고 있다. 현재 쇼트키 장벽 다이오드의 경우 5kV급, UMOSFET의 경우 3kV급의 소자까지 보고되고 있으며 반도체 물질 중에서 가장 활발히 연구가 진행되고 있는 분야 중의 하나이다. 단결정성장 분야에서도 3인치 급이 상용화 되었으며 4인치 크기의 웨이퍼의 상용화가 조만간 실현될 것으로 기대되고 있다. 이러한 기술적 발전을 토대로 600V, 1200V급 쇼트키 다이오드가 PFC boost 용으로 시판되고 있으나 아직은 다른 반도체 소자에 비해 미미한 실정이다. 현재에는 $250^{\circ}C$까지의 온도영역에서 실리콘 SOI(Silicon on Insulator) 소자가 주로 사용되고 있다. 그러나 $300^{\circ}C$를 넘는 온도 영역에서는 실리콘으로는 한계가 있고, 특히 SOI는 전력소자에 적용하기는 한계가 있어 주로 저전력 고온소자가 필요한 부분에 적용이 되고 있다. 따라서 전력용에 적합한 고온소자로 탄화규소 소자의 연구가 활발히 진행되고 있다. 현재의 추세로 보아 $200-300^{\circ}C$ 영역의 응용분야에서는 SOI와 탄화규소가 함께 적용될 것으로 예상되며, $300^{\circ}C$를 넘는 온도영역에서는 탄화규소 소자의 우월적 지위가 예상된다. 이러한 이유로 탄화규소 반도체소자의 응용 분야는 크게 확대될 것으로 예상되며 국가적 차원의 지원 및 육성이 요구되는 분야 중의 하나이다.t로 사용한 소자보다 발광 소광 현상이 적게 일어난 것에 기인하였다고 생각된다. 두 소자 모두 $40mA/cm^2$ 에서 이상적인 화이트 발란스와 같은(0.33,0.33)의 색좌표를 보였다.epsilon}_0=1345$의 빼어난 압전 및 유전특성과 $330^{\circ}C$의 높은 $T_c$를 보였고 그 조성의 vibration velocity는 약4.5 m/s로 나타났다.한 관심이 높아지고 있다. 그러나 고 자장 영상에서의 rf field 에 의한 SAR 증가는 중요한 제한 요소로 부각되고 있다. 나선주사영상은 SAR 문제가 근원적으로 발생하지 않고, EPI에 비하여 하드웨어 요구 조건이 낮아 고 자장에서의 고속영상방법으로 적합하다. 본 논문에서는 고차 shimming 을 통하여 불균일도를 개선하고, single shot 과 interleaving 을 적용한 multi-shot 나선주사영상 기법으로 $100{\times}100$에서 $256{\times}256$의 고해상도 영상을 얻어 고 자장에서 초고속영상기법으로 다양한 적용 가능성을 보였다. 연구에서 연구된 $[^{18}F]F_2$가스는 친핵성 치환반응으로 방사성동위원소를 도입하기 어려운 다양한 방사성의 약품개발에 유용하게 이용될 수 있을 것이다.었으나 움직임 보정 후 영상을 이용하여 비교한 경우, 결합능 변화가 선조체 영역에서 국한되어 나타나며 그 유의성이 움직임 보정 전에 비하여 낮음을 알 수 있었다. 결론: 뇌활성화 과제 수행시에 동반되는 피험자의 머리 움직임에 의하여 도파민 유리가 과대평가되었으며 이는 이 연구에서 제안한 영상정합을 이용한 움직임 보정기

  • PDF

Web-based Measurement of ECU Signals on Vehicle using Embedded Linux

  • Choi, Kwang-Hun;Lee, Lee;Lee, Young-Choon;Kwon, Tae-Kyu;Lee, Seong-Cheol
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 2004년도 ICCAS
    • /
    • pp.138-142
    • /
    • 2004
  • In this paper, we present a new method for monitoring of ECU's sensor signals of vehicle. In order to measure the ECU's sensor signals, the interfaced circuit is designed to communicate ECU and the Embedded Linux is used to monitor communication result through Web the Embedded Linux system and this system is said "ECU Interface Part". In ECU Interface Part the interface circuit is designed to match voltage level between ECU and SA-1110 micro controller and interface circuit to communicate ECU according to the ISO, SAE communication protocol standard. Because Embedded Linux does not allow to access hardware directly in application level, anyone who wants to modify any low level hardware must develop device driver. To monitor ECU's sensor signals the most important thing is to match serial level between ECU and ECU Interface Part. It means to communicate correctly between two hardware we need to match voltage and signal level, and need to match baudrate. The voltage of SA-1110 is 0 ${\sim}$ +3.3V and ECU is 0 ${\sim}$ +12V and, ECU's communication Line K does multiple operation so, the interface circuit is used to match voltage and signal level. In Addition to ECU's baudrate is 10400bps, it's not standard baudrate in computer environment. So, we need to develop a device driver to control the interface circuit, and change baudrate. To monitor ECU's sensor signals through web there's a network socket program is working in Embedded Linux. It works as server program and manages user's connections and commands. Anyone who wants to monitor ECU's sensor signals he just only connect to Embedded Linux system with web browser then, Embedded Linux webserver will return the ActiveX webbased measurement software. It works in web browser and inits ECU, as a result it returns sensor signals through web. All the programs are developed with GCC(GNU C Compiler) and, webbased measurement software is developed with Borland C++ Builder.

  • PDF

완전 스위칭이 가능한 Ti:LiNbO3 진행파 광변조기 (Traveling-wave Ti:LiNbO3 optical modulator capable of complete switching)

  • 곽재곤;김경암;김영문;정은주;피중호;박권동;김창민
    • 한국광학회지
    • /
    • 제14권5호
    • /
    • pp.545-554
    • /
    • 2003
  • Ti:LiNbO$_3$세 도파로 방향성 결합기와 CPW진행파 전극으로 구성된 완전 스위칭이 가능한 외부 광변조기를 설계, 제작하였다. 결합 모드 이론을 이용하여 세 도파로 광결합기의 스위칭 현상을 해석하였으며, 유한차분법을 이용하여 단일 모드를 갖는 광도파로의 설계 및 공정 파라미터를 도출하였으며, 이를 이용하여 광 결합길이를 계산하였다. 등각사상법과 반복이완법을 이용하여, CPW구조 진행파 전극의 특성임피던스와 M/W(Micro wave)유효굴절률 정합조건을 동시에 만족하는 설계 파라미터를 도출하였다. 제작된 세 도파로 광변조기의 삽입손실과 스위칭 전압은 약 4㏈와 19V였으며, S 파라미터를 측정하여 특성임피던스 Z$_{c}$=45 Ω M/W 유효굴절률 N$_{eff}$=2.20, 그리고 감쇠상수 $\alpha$$_{0}$=0.055/cm√GHZ 등의 진행파 전극 파라미터를 추출하였다. 추출된 진행파 전극 파라미터를 이용하여 이론적인 주파수 응답 R($\omega$)을 계산하였으며, Photo Detector로 측정된 주파수 응답과 비교하였다. 주파수 응답 측정 결과, 3㏈ 변조대역폭은 13 GHz로 측정되었다.

질소 첨가된 GeSe 비정질 칼코지나이드 박막을 이용한 OTS (Ovonic threshold switching) 소자의 switiching 특성 연구

  • 안형우;정두석;이수연;안명기;김수동;신상열;김동환;정병기
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2012년도 춘계학술발표대회
    • /
    • pp.78.2-78.2
    • /
    • 2012
  • 최근 PRAM의 집적도 향상 및 3차원 적층에 의한 메모리 용량 향상을 위해 셀 선택 스위치로서 박막형 Ovonic Threshold Switching (OTS) 소자를 적용한 Cross bar 구조의 PRAM이 제안된 바 있다. OTS 소자는 비정질 칼코지나이드를 핵심층으로 하는 2단자 소자로서 고저항의 Off 상태에 특정 값 (문턱스위칭 전압) 이상의 전압을 가해주면 저저항의 On 상태로 바뀌고 다시 특정 값 (유지전압) 이하로 전압을 감소시킴에 따라 고저항의 Off 상태로 복원하는 특성을 갖는다. 셀 선택용 스위치로 적용되기 위해서는 핵심적으로 On-Off 상태간의 가역적인 변화 중에도 재료가 비정질 구조를 안정하게 유지해야 하며 전기적으로는 Off 상탱의 저항이 크고 또한 전류값의 점멸비가 커야 한다. GeSe는 이원계 재료로서 단수한 구성에도 불구하고 OTS 소자가 갖추어야할 기본적인 특성을 가지는 것으로 알려져 있다. 본 연구에서는 GeSe로 구성된 OTS 재료에 경원소인 질소를 첨가하여 비정질 상태의 안정성과 소자특성의 개선 효과를 조사하였다. RF-puttering 시 Ar과 $N_2$의 혼합 Gas를 사용하여 조성이 $Ge_{62}Se_{38}$ ($N_2$ : 3%)인 박막을 제작하여 DSC를 통해 결정화온도(Tx)를 확인하였고, $N_2$ gas의 함유량이 각각 1 %, 2 %, 3 %인 $Ge_{62}Se_{38}$인 박막을 전극의 접촉 부 면적이 $10{\times}10\;{\mu}m^2$인 cross-bar 구조의 소자로 제작하여 Threshold switching voltage ($V_{th}$), Delay time ($t_d$), $I_{on}/I_{off}$ 그리고 Endurance 특성을 평가하였다. DSC 분석 결과 $N_2$ 가 3 % 첨가된 GeSe 박막은 Tx가 $371^{\circ}C$에서 $399^{\circ}C$로 증가되었다. $N_2$가 1% 첨가된 GeSe 소자를 측정한 결과 $V_{th}$의 변화 없는 가운데 $I_{on}/I_{off}$이 약 $2{\times}10^3$에서 $5{\times}10^4$로 향상되었다. Endurance 특성 역시 $10^4$에서 $10^5$번으로 향상되었다. $t_d$의 경우 비정질 상태의 저항 증가로 인해 약 50% 증가되었다. 이러한 $N_2$의 첨가로 인한 비정질 GeSe 박막의 변화 원인에 대한 분석 결과를 소개할 예정이다.

  • PDF