• Title/Summary/Keyword: Plasma-enhanced chemical vapor deposition

Search Result 615, Processing Time 0.033 seconds

[ $NO_2$ ] Gas Sensing Characteristics of Carbon Nanotubes (탄소 나노튜브를 이용한 이산화질소 감지 센서의 특성)

  • Lee R. Y.
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.12 no.3 s.36
    • /
    • pp.227-233
    • /
    • 2005
  • Carbon nanotubes (CNT) which were grown, on the alumina substrate with a pair of comb-type Au electrodes, by plasma enhanced chemical vapor deposition have been investigated for $NO_2$ gas sensor. The electrical resistance of CNT film decreased with temperature, indicating a semiconductor type of CNT, and also the resistance of CNT sensor decreased with increasing $NO_2$ concentration. Upon exposure to $NO_2$ gas, the electrical resistance of CNT film sensor rapidly decreased within 3 minutes, and then showed a constant value after $20\~30$ minutes. It is found that the sensitivity of CNT sensor has been improved by air oxidation. The CNT sensor oxidized at $450^{\circ}C$ for 30 minutes showed higher sensitivity value than that without oxidation by $27\%$, even for a low 250 ppb $NO_2$ concentration at operating temperature of $200^{\circ}C$. But it needs a recovery time more than 20 minutes for reuse after detection of $NO_2$ gas.

  • PDF

Characteristics of Crystalline Silicon Solar Cells with Double Layer Antireflection Coating by PECVD (결정질 실리콘 태양전지의 이중 반사방지막 특성에 대한 연구)

  • Kim, Jin-Kuk;Park, Je-Jun;Hong, Ji-Hwa;Kim, Nam-Soo;Kang, Gi-Hwan;Yu, Gwon-Jong;Song, Hee-Eun
    • 한국태양에너지학회:학술대회논문집
    • /
    • 2012.03a
    • /
    • pp.243-247
    • /
    • 2012
  • The paper focuses on an anti-reflection (AR) coating deposited by PECVD in silicon solar cell fabrication. AR coating is effective to reduce the reflection of the light on the silicon wafer surface and then increase substantially the solar cell conversion efficiency. In this work, we carried out experiments to optimize double AR coating layer with silicon nitride and silicon oxide for the silicon solar cells. The p-type mono crystalline silicon wafers with $156{\times}156mm^2$ area, 0.5-3 ${\Omega}{\cdot}cm$ resistivity, and $200{\mu}m$ thickness were used. All wafers were textured in KOH solution, doped with $POCl_3$ and removed PSG before ARC process. The optimized thickness of each ARC layer was calculated by theoretical equation. For the double layer of AR coating, silicon nitride layer was deposited first using $SiH_4$ and $NH_3$, and then silicon oxide using $SiH_4$ and $N_2O$. As a result, reflectance of $SiO_2/SiN_x$ layer was lower than single $SiN_x$ and then it resulted in increase of short-circuit current and conversion efficiency. It indicates that the double AR coating layer is necessary to obtain the high efficiency solar cell with PECVD already used in commercial line.

  • PDF

결정질 실리콘 태양전지에서 RF-PECVD를 이용한 실리콘 질화막의 패시베이션 향상 연구

  • Song, Se-Yeong;Sin, Gyeong-Cheol;Gang, Min-Gu;Song, Hui-Eun;Jang, Hyo-Sik
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.470.2-470.2
    • /
    • 2014
  • RF-PECVD 장치에 의해 증착된 실리콘 질화막(SiNx)은 결정질 실리콘 태양전지에서 반사 방지막 효과 및 우수한 표면 패시베이션 특성을 제공하는 것으로 알려져 있다. 본 논문에서는 실리콘 질화막의 패시베이션 특성을 향상시키기 위해서 공정온도를 $400^{\circ}C$로 고정하고 공정압력, 가스비, RF (radio frequency) power를 가변하였다. 이 때의 실리콘 질화막의 굴절률 및 두께는 각각 2.0, 80 nm로 증착하여 그에 따른 특성에 대해 분석하였다. 공정 압력이 감소할수록 실리콘 질화막이 증착된 결정질 실리콘 태양전지의 유효 반송자 수명이 증가함을 보였고, 반면에 증착속도는 감소하였다. 또한 RF-power 500 W에서 실리콘 질화막이 증착된 결정질 실리콘 태양전지의 유효 반송자 수명이 상대적으로 높았으며 출력이 올라갈수록 증착속도가 증가하였다. 결과적으로 결정질 실리콘 태양전지에 증착한 실리콘 질화막은 0.8torr 공정 압력과 RF-power 500 W에서 $38.8{\mu}s$로 가장 좋은 유효 반송자 수명을 확인하였다. 위의 결과를 바탕으로 결정질 실리콘 태양전지를 제작하였고 향상된 패시베이션 특성을 갖는 실리콘 질화막의 조건을 찾기 위해서 개방전압(open circuit voltage)을 비교하였다. 공정압력 0.8 torr, RF-power 500 W에서 가장 높은 결과를 보였으며 이는 유효 반송자 수명과 유사한 결과를 나타냈다. 하지만 낮은 FF (fill factor)로 인해 변환 효율이 낮은 결과를 보였다. 태양전지 제작시 낮은 fill factor를 보인 이유와 위의 단점을 보완하기 위해 추가 실험을 수행하였으며, 개선된 fill factor를 통해 18.3% 효율의 태양전지를 제작하였다.

  • PDF

플라즈마 화학 기상 증착 시스템을 이용한 저온, 저압 하에서 SiN, SiCN 박막 제조

  • Seo, Yeong-Su;Lee, Gyu-Sang;Byeon, Hyeong-Seok;Jang, Ha-Jun;Choe, Beom-Ho
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.371.1-371.1
    • /
    • 2014
  • 반도체 트랜지스터의 크기가 점점 미세화 함에 따라 이에 수반되는 절연막에 대한 요구 조건도 까다로워지고 있다. 특히 게이트 산화 막의 두께는 10 nm 이하에서 고밀도를 갖는 높은 유전율 막에 대한 요구가 증가되고 있으며 또한 증착 온도 역시 낮아져야 한다. 이러한 요구사항을 충족하는 기술중의 하나는 매우 낮은 압력 및 200도 이하 저온에서 절연막을 증착하는 것이다. 본 연구에서는 플라즈마 화학 기상 증착(PE-CVD) 시스템을 이용하여 $180^{\circ}C$의 온도 및 10 mTorr의 압력에서 SiN 및 SiCN 박막을 제조하였다. 박막의 특성은 원자층 증착 공정 결과와 유사하면서 증착 속도의 향상을 위해 개조된 사이클릭 화학 기상 증착 공정을 이용하였다. Si 전구체와 산화제는 기판에 공급되기 전에 혼합되어 1차 리간드 분해를 하였으며, 리간드가 일부 제거된 가스가 기판에 흡착되는 구조이다. 기판흡착 후 플라즈마 처리 공정을 이용하여 2차 리간드 분해 공정을 수행하였으며, 반응에 참여하지 않은 가스 제거를 위해 불활성 가스를 이용하여 퍼지 하였다. 공정 변수인 플라즈마 전력, 반응가스유량, 플라즈마 처리 시간은 최적화 되었다. 또한 효율적인 리간드 분해를 위해 ICP와 CCP를 포함하고 있는 이중 플라즈마 시스템에 의해 2회에 걸쳐 분해되어지고, 그 결과로 불순물이 들어있지 않는 순수한 SiN과 SiCN 박막을 증착하였다. XRD 측정 결과 증착된 박막들은 모두 비정질 상이며, 550 nm 파장에서 측정한 SiN 및 SiCN 박막의 굴절률은 각 각 1.801 및 1.795이다. 또한 증착된 박막의 밀도는 2.188 ($g/cm^3$)로서 유전체 박막으로 사용하기에 충분한 값임을 확인하였다. 추가적으로 300 mm 규모의 Si 웨이퍼에서 측정된 비 균일도는 2% 이었다. 저온에서 증착한 SiN 및 SiCN 박막 특성은 고온 공정의 그것과 유사함을 확인하였고, 이는 저온에서의 유전체 박막 증착 공정이 반도체 제조 공정에서 사용 가능하다는 것을 보여준다.

  • PDF

Comparison of Passivation Property on Hydrogenated Silicon Nitrides whose Antireflection Properties are Identical (반사방지 특성을 통일시킨 실리콘 질화막 간의 패시베이션 특성 비교)

  • Kim, Jae Eun;Lee, Kyung Dong;Kang, Yoonmook;Lee, Hae-Seok;Kim, Donghwan
    • Korean Journal of Materials Research
    • /
    • v.26 no.1
    • /
    • pp.47-53
    • /
    • 2016
  • Silicon nitride ($SiN_x:H$) films made by plasma enhanced chemical vapor deposition (PECVD) are generally used as antireflection layers and passivation layers on solar cells. In this study, we investigated the properties of silicon nitride ($SiN_x:H$) films made by PECVD. The passivation properties of $SiN_x:H$ are focused on by making the antireflection properties identical. To make equivalent optical properties of silicon nitride films, the refractive index and thickness of the films are fixed at 2.0 and 90 nm, respectively. This limit makes it easier to evaluate silicon nitride film as a passivation layer in realistic application situations. Next, the effects of the mixture ratio of the process gases with silane ($SiH_4$) and ammonia ($NH_3$) on the passivation qualities of silicon nitride film are evaluated. The absorption coefficient of each film was evaluated by spectrometric ellipsometry, the minority carrier lifetimes were evaluated by quasi-steady-state photo-conductance (QSSPC) measurement. The optical properties were obtained using a UV-visible spectrophotometer. The interface properties were determined by capacitance-voltage (C-V) measurement and the film components were identified by Fourier transform infrared spectroscopy (FT-IR) and Rutherford backscattering spectroscopy detection (RBS) - elastic recoil detection (ERD). In hydrogen passivation, gas ratios of 1:1 and 1:3 show the best surface passivation property among the samples.

Formation of SiOF Thin Films by FTES/$O_2$-PECVD Method (FTES/$O_2$-PECVD 방법에 의한 SiOF 박막형성)

  • Kim, Duk-Soo;Lee, Ji-Hyeok;Lee, Kwang-Man;Gang, Dong-Sik;Choe, Chi-Kyu
    • Korean Journal of Materials Research
    • /
    • v.9 no.8
    • /
    • pp.825-830
    • /
    • 1999
  • Characteristics of SiOF films deposited by a FTES/$O_2$-plasma enhanced chemical vapor deposition method have been investigated using Fourier transform infrared spectroscopy, X-ray photoelectro spectroscopy, and ellipsometry. Electrical properties such as dielectric constant, dielectric breakdown and leakage current density are investigated using C-V and I-V measurements with MIS(Au/SiOF/p-Si) capacitor structure. Stepcoverage of the films have been also characterized using scanning electron microscopy and ellipsometry. A high quality SiOF film was formed on that the flow rates of FTES and $O_2$were 300sccm, respectively. The dielectric constant of the deposited SiOF film was about 3.1. This value is lower than that of the oxide films obtained using other method. The dielectric breakdown field and leakage current are more than 10MV/cm and about $8[\times}10^{9}A/\textrm{cm}^2$, respectively. The deposited SiOF film with thickness as $2500{\AA}$ on the $0.3{\mu}{\textrm}{m}$ metal pattern shows a high step-coverage without a void.

  • PDF

Structure and Property Analysis of Nanoporous Low Dielectric Constant SiCOH Thin Films

  • Heo, Gyu-Yong;Lee, Mun-Ho;Lee, Si-U;Park, Yeong-Hui
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.167-169
    • /
    • 2009
  • We have carried out quantitative structure and property analysis of the nanoporous structures of low dielectric constant (low-k) carbon-doped silicon oxide (SiCOH) films, which were deposited with plasma enhanced chemical vapor deposition (PECVD) using vinyltrimethylsilane (VTMS), divinyldimethylsilane (DVDMS), and tetravinylsilane (TVS) as precursor and oxygen as an oxidant gas. We found that the SiCOH film using VTMS only showed well defined spherical nanopores within the film after thermal annealing at $450^{\circ}C$ for 4 h. The average pore radius of the generated nanopores within VTMS SiCOH film was 1.21 nm with narrow size distribution of 0.2. It was noted that thermally labile $C_{x}H_{y}$ phase and Si-$CH_3$ was removed to make nanopore within the film by thermal annealing. Consequently, this induced that decrease of average electron density from 387 to $321\;nm^{-3}$ with increasing annealing temperature up to $450^{\circ}C$ and taking a longer annealing time up to 4 h. However, the other SiCOH films showed featureless scattering profiles irrespective of annealing conditions and the decreases of electron density were smaller than VTMS SiCOH film. Because, with more vinyl groups are introduced in original precursor molecule, films contain more organic phase with less volatile characteristic due to the crosslinking of vinyl groups. Collectively, the presenting findings show that the organosilane containing vinyl group was quite effective to deposit SiCOH/$C_{x}H_{y}$ dual phase films, and post annealing has an important role on generation of pores with the SiCOH film.

  • PDF

Non-linear optical properties of PECVD nanocrystal-Si nanosecond excitation (PECVD로 제조된 나노결정실리콘 비선형 광학적특성)

  • Yang, Hyeon-Hun;Kim, Han-Wool;Kim, Joo Hoe;Kim, Chul Joong;Lee, Chang Gwon
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2011.11a
    • /
    • pp.60.2-60.2
    • /
    • 2011
  • A study of the non-linear optical properties of nanocrystal-Si embedded in SiO2 has been performed by using the z-scan method in the nanosecond and femtosecond ranges. Substoichiometric SiOx films were grown by plasma-enhanced chemical-vapor deposition(PECVD) on silica substrates for Si excesses up to 24 at/%. An annealing at $1250^{\circ}C$ for 1 hour was performed in order to precipitate nanocrystal-Si, as shown by EFTEM images. Z-scan results have shown that, by using 5-ns pulses, the non-linear process is ruled by thermal effects and only a negative contribution can be observed in the non-linear refractive index, with typical values around $-10-10cm^2/W$. On the other hand, femtosecond excitation has revealed a pure electronic contribution to the nonlinear refractive index, obtaining values in the order of 10-12 cm2/W. Simulations of heat propagation have shown that the onset of the temperature rise is delayed more than half pulse-width respect to the starting edge of the excitation. A maximum temperature increase of ${\Delta}T=123.1^{\circ}C$ has been found after 3.5ns of the laser pulse maximum. In order to minimize the thermal contribution to the z-scan transmittance and extract the electronic part, the sample response has been analyzed during the first few nanoseconds. By this method we found a reduction of 20% in the thermal effects. So that, shorter pulses have to be used obtain just pure electronic nonlinearities.

  • PDF

Study of the hydrogen concentration of SiNx film by Fourier transform infrared spectroscopy (Fourier transform infrared spectroscopy를 이용한 SiNx박막의 수소농도 연구)

  • Lee, Seok-Ryoul;Choi, Jae-Ha;Jhe, Ji-Hong;Lee, Lim-Soo;Ahn, Byung-Chul
    • Journal of the Korean Vacuum Society
    • /
    • v.17 no.3
    • /
    • pp.215-219
    • /
    • 2008
  • The bonding structure and composition of silicon nitride (SiNx) films were investigated by using Fourier transform infrared spectroscopy (FT-IR). SiNx films were deposited on Si substrate at $340^{\circ}C$ using a conventional PECVD system. The compositions of Si and N in SiNx films were confirmed by using Rutherford backscattering spectroscopy (RBS) and photoluminescence (PL) analysis. The surface morphology of SiNx films was also analyzed by using atomic force microscopy (AFM). It was found that the contents of NH(at. %) is the reverse related with those of SiH corresponding to the result of FT-IR. we conclude that a quantitative analysis on SiNx films can be possible through a precise detection of the contents of H in SiNx films with a FT-IR analysis only.

RIE에서 $C_3F_6$ 가스를 이용한 $Si_3N_4$ 식각공정 개발

  • Jeon, Seong-Chan;Gong, Dae-Yeong;Jeong, Dong-Geon;Choe, Ho-Yun;Kim, Bong-Hwan;Jo, Chan-Seop;Lee, Jong-Hyeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.328-329
    • /
    • 2012
  • $SF_6$ gas는 반도체 및 디스플레이 제조공정 중 Dry etch과정에서 널리 사용되는 gas로 자연적으로 존재하는 것이 아닌 사용 목적에 맞춰 인위적으로 제조된 gas이다. 디스플레이 산업에서 $SF_6$ gas가 사용되는 Dry etch 공정은 주로 ${\alpha}$-Si, $Si_3N_4$ 등 Si계열의 박막을 etch하는데 사용된다. 이러한 Si 계열의 박막을 식각하기 위해서는 fluorine, Chlorine 등이 사용된다. fluorine계열의 gas로는 $SF_6$ gas가 대표적이다. 하지만 $SF_6$ gas는 대표적인 온실가스로 지구 온난화의 주범으로 주목받고 있다. 세계적으로 온실가스의 규제에 대한 움직임이 활발하고, 대한민국은 2020년까지 온실가스 감축목표를 '배출전망치(BAU)대비 30% 감축으로' 발표하였다. 따라서 디스플레이 및 반도체 공정에는 GWP (Global warming Potential)에 적용 가능한 대체 가스의 연구가 필요한 상황이다. 온실가스인 $SF_6$를 대체하기 위한 방법으로 GWP가 낮은 $C_3F_6$가스를 이용하여 $Si_3N_4$를 Dry etching 방법인 RIE (Reactive Ion Etching)공정을 한 후 배출되는 가스를 측정하였다. 4인치 P-type 웨이퍼 위에 PECVD (Plasma Enhanced Chemical Vapor Deposition)장비를 이용하여 $Si_3N_4$를 200 nm 증착하였고, Photolithography공정을 통해 Patterning을 한 후 RIE공정을 수행하였다. RIE는 Power : 300 W, Flow rate : 30 sccm, Time : 15 min, Temperature : $15^{\circ}C$, Pressure : Open과 같은 조건으로 공정을 수행하였다. 그리고 SEM (Scanning Electron Microscope)장비를 이용하여 Etching된 단면을 관찰하여 단차를 확인하였다. 또한 Etching 전후 배출가스를 포집하여 GC-MS (Gas Chromatograph-Mass Spectrophotometry)를 측정 및 비교하였다. Etching 전의 경우에는 $N_2$, $O_2$ 등의 가스가 검출되었고, $C_3F_6$ 가스를 이용해 etching 한 후의 경우에는 $C_3F_6$ 계열의 가스가 검출되었다.

  • PDF