• Title/Summary/Keyword: Plasma impedance monitoring

Search Result 17, Processing Time 0.033 seconds

Real-Time Small Exposed Area $SiO_2$ Films Thickness Monitoring in Plasma Etching Using Plasma Impedance Monitoring with Modified Principal Component Analysis

  • Jang, Hae-Gyu;Nam, Jae-Uk;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.320-320
    • /
    • 2013
  • Film thickness monitoring with plasma impedance monitoring (PIM) is demonstrated for small area $SiO_2$ RF plasma etching processes in this work. The chamber conditions were monitored by the impedance signal variation from the I-V monitoring system. Moreover, modified principal component analysis (mPCA) was applied to estimate the $SiO_2$ film thickness. For verification, the PIM was compared with optical emission spectroscopy (OES) signals which are widely used in the semiconductor industry. The results indicated that film thickness can be estimated by 1st principal component (PC) and 2nd PC. Film thickness monitoring of small area $SiO_2$ etching was successfully demonstrated with RF plasma harmonic impedance monitoring and mPCA. We believe that this technique can be potentially applied to plasma etching processes as a sensitive process monitoring tool.

  • PDF

In-situ Endpoint Detection for Dielectric Films Plasma Etching Using Plasma Impedance Monitoring and Self-plasma Optical Emission Spectroscopy with Modified Principal Component Analysis

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.153-153
    • /
    • 2012
  • Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.

  • PDF

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF

Plasma Impedance Monitoring with Real-time Cluster Analysis for RF Plasma Etching Endpoint Detection of Dielectric Layers

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.123.2-123.2
    • /
    • 2013
  • Etching endpoint detection with plasma impedance monitoring (PIM) is demonstrated for small area dielectric layers inductive coupled plasma etching. The endpoint is determined by the impedance harmonic signals variation from the I-V monitoring system. Measuring plasma impedance has been examined as a relatively simple method of detecting variations in plasma and surface conditions without contamination at low cost. Cluster analysis algorithm is modified and applied to real-time endpoint detection for sensitivity enhancement in this work. For verification, the detected endpoint by PIM and real-time cluster analysis is compared with widely used optical emission spectroscopy (OES) signals. The proposed technique shows clear improvement of sensitivity with significant noise reduction when it is compared with OES signals. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as end point detection.

  • PDF

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

Real-Time Plasma Process Monitoring with Impedance Analysis and Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Kim, Dae-Kyoung;Kim, Hoon-Bae;Han, Sa-Rum;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.473-473
    • /
    • 2010
  • Plasma is widely used in various commercial etchers and chemical vapor deposition. Unfortunately, real-time plasma process monitoring is still difficult. Some methods of plasma diagnosis is improved, however, it is possible for real-time plasma diagnosis to use non-intrusive probe only. In this research, the object is to investigate the suitability of using impedance analysis and optical emission spectroscopy (OES) for real-time plasma process monitoring. It is assumed that plasma system is a equivalent circuit. Therefore, V-I probe is used for measuring impedance, which can be a new non-intrusive probe for plasma diagnosis. From impedance data, we tried to analyse physical properties of plasma. And OES, the other method of plasma diagnosis, is a typical non-intrusive probe for analyzing chemical properties. The amount of the OES data is typically large, so this poses a difficulty in extracting relevant information. To solve this problem, principal component analysis (PCA) can be used. For fundamental information, Ar plasma and $O_2$ plasma are used in this experiment. This method can be applied to real-time endpoint and fault detections.

  • PDF

Real Time CUSUM Control of Plasma Impedance Matching Network (플라즈마 임피이던스 정합망 실시간 CUSUM 제어)

  • Kim, Woo-Suk;Kim, Byung-Whan
    • Proceedings of the KIEE Conference
    • /
    • 2007.07a
    • /
    • pp.1844-1845
    • /
    • 2007
  • A CUSUM control chart was used to monitor semiconductor plasma equipment. The performance of plasma monitoring was evaluated with various combinations of design variables involved in CUCUM control chart. Experimental data collected by using a real-time matching monitoring system include electrical positions of impedance and phase positions, and reflected power. The evaluation revealed that by determining specific design variables plasma states could be more strictly monitored.

  • PDF

Real Time Endpoint Detection in Plasma Etching Using Decision Making Algorithm (플라즈마 식각 공정에서 의사결정 알고리즘을 이용한 실시간 식각 종료점 검출)

  • Noh, Ho-Taek;Park, Young-Kook;Han, Seung-Soo
    • Journal of IKEEE
    • /
    • v.20 no.1
    • /
    • pp.9-15
    • /
    • 2016
  • The endpoint detection (EPD) is the most important technique in plasma etching process. In plasma etching process, the Optical Emission Spectroscopy (OES) is usually used to analyze plasma reaction. And Plasma Impedance Monitoring (PIM) system is used to measure the voltage, current, power, and load impedance of the supplied RF power during plasma process. In this paper, a new decision making algorithm is proposed to improve the performance of EPD in SiOx single layer plasma etching. To enhance the accuracy of the endpoint detection, both OES data and PIM data are utilized and a newly proposed decision making algorithm is applied. The proposed method successfully detected endpoint of silicon oxide plasma etching.

Gas Flow Rate Dependency of Etching Result: Use of VI Probe for Process Monitoring (가스 유량 변화에 따른 식각 공정 결과: VI Probe 활용 가능성 제안)

  • Song, Wan Soo;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.3
    • /
    • pp.27-31
    • /
    • 2021
  • VI probe, which is one of various in-situ plasma monitoring sensor, is frequently used for in-situ process monitoring in mass production environment. In this paper, we correlated the plasma etch results with VI probe data with the small amount of gas flow rate changes to propose usefulness of the VI probe in real-time process monitoring. Several different sized contact holes were employed for the etch experiment, and the etched profiles were measured by scanning electron microscope (SEM). Although the shape of etched hole did not show satisfactory relationship with VI probe data, the chamber status changed along the incremental/decremental modification of the amount of gas flow was successfully observed in terms of impedance monitoring.

Fault Detection of Plasma Etching Processes with OES and Impedance at CCP Etcher

  • Choi, Sang-Hyuk;Jang, Hae-Gyu;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.257-257
    • /
    • 2012
  • Fault detection was carried out in a etcher of capacitive coupled plasma with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and Fluorocarbon plasma with variable change such as pressure and addition of N2 and O2 to assume atmospheric leak, RF power and pressure that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by VI probe was analyzed by statistical method including PCA to determine healthy of process. The main goal of this study is to find feasibility and limitation of OES and Impedances for fault detection by shift of plasma characteristics and to enhance capability of fault detection using PCA.

  • PDF