• Title/Summary/Keyword: Plasma Etching

Search Result 1,033, Processing Time 0.038 seconds

Oxygen Plasma Characterization Analysis for Plasma Etch Process

  • Park, Jin-Su;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.78
    • /
    • pp.29-31
    • /
    • 2007
  • This paper is devoted to a study of the characterization of the plasma state. For the purpose of monitoring plasma condition, we experiment on reactive ion etching (RIE) process. Without actual etch process, generated oxygen plasma, measurement of plasma emission intensity. Changing plasma process parameters, oxygen flow, RF power and chamber pressure have controlled. Using the optical emission spectroscopy (OES), we conform to the unique oxygen wavelength (777nm), the most powerful intensity region of the designated range. Increase of RF power and chamber pressure, emission intensity is increased. oxygen flow is not affect to emission intensity.

Analysis of H-ICP Source by Noninvasive Plasma Diagnostics of Etching Process

  • Park, Kun-Joo;Kim, Min-Shik;Lee, Kwang-Min;Chae, Hee-Yeop;Lee, Hi-Deok
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.126-126
    • /
    • 2009
  • Noninvasive plasma diagnostic technique is introduced to analyze and characterize HICP (Helmholtz Inductively Coupled Plasma) source during the plasma etching process. The HICP reactor generates plasma mainly through RF source power at 13.56MHz RF power and RF bias power of 12.56MHz is applied to the cathode to independently control ion density and ion energy. For noninvasive sensors, the RF sensor and the OES (Optical emission spectroscopy) were employed since it is possible to obtain both physical and chemical properties of the reactor with plasma etching. The plasma impedance and optical spectra were observed while altering process parameters such as pressure, gas flow, source and bias power during the poly silicon etching process. In this experiment, we have found that data measured from these noninvasive sensors can be correlated to etch results. In this paper, we discuss the relationship between process parameters and the measurement data from RF sensor and OES such as plasma impedance and optical spectra and using these relationships to analyze and characterize H-ICP source.

  • PDF

The study of silicon etching using the high density hollow cathode plasma system

  • Yoo, Jin-Soo;Lee, Jun-Hoi;Gangopadhyay, U.;Kim, Kyung-Hae;Yi, Jun-Sin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2003.07a
    • /
    • pp.1038-1041
    • /
    • 2003
  • In the paper, we investigated silicon surface microstructures formed by reactive ion etching in hollow cathode system. Wet anisotropic chemical etching technique use to form random pyramidal structure on <100> silicon wafers usually is not effective in texturing of low-cost multicrystalline silicon wafers because of random orientation nature, but High density hollow cathode plasma system illustrates high deposition rate, better film crystal structure, improved etching characteristics. The etched silicon surface is covered by columnar microstructures with diameters form 50 to 100nm and depth of about 500nm. We used $SF_{6}$ and $O_{2}$ gases in HCP dry etch process. This paper demonstrates very high plasma density of $2{\times}10^{12}$ $cm^{-3}$ at a discharge current of 20 mA. Silicon etch rate of 1.3 ${\mu}s/min$. was achieved with $SF_{6}/O_{2}$ plasma conditions of total gas pressure=50 mTorr, gas flow rate=40 sccm, and rf power=200 W. Our experimental results can be used in various display systems such as thin film growth and etching for TFT-LCDs, emitter tip formations for FEDs, and bright plasma discharge for PDP applications. In this paper we directed our study to the silicon etching properties such as high etching rate, large area uniformity, low power with the high density plasma.

  • PDF

Real Time Endpoint Detection in Plasma Etching Using Decision Making Algorithm (플라즈마 식각 공정에서 의사결정 알고리즘을 이용한 실시간 식각 종료점 검출)

  • Noh, Ho-Taek;Park, Young-Kook;Han, Seung-Soo
    • Journal of IKEEE
    • /
    • v.20 no.1
    • /
    • pp.9-15
    • /
    • 2016
  • The endpoint detection (EPD) is the most important technique in plasma etching process. In plasma etching process, the Optical Emission Spectroscopy (OES) is usually used to analyze plasma reaction. And Plasma Impedance Monitoring (PIM) system is used to measure the voltage, current, power, and load impedance of the supplied RF power during plasma process. In this paper, a new decision making algorithm is proposed to improve the performance of EPD in SiOx single layer plasma etching. To enhance the accuracy of the endpoint detection, both OES data and PIM data are utilized and a newly proposed decision making algorithm is applied. The proposed method successfully detected endpoint of silicon oxide plasma etching.

Removal of Static Electricity on Polyimide Film Surface by $O_2$ or Ar Cold Plasma Etching

  • Lee, Jae-Ho;Jeong, Hee-Cheon
    • Fibers and Polymers
    • /
    • v.5 no.2
    • /
    • pp.151-155
    • /
    • 2004
  • Cold plasma of $O_2$ or Ar was irradiated on hydrophobic Kapton surface to attenuate or remove the electrostatic potential. A measurement on charge dissipation speed clarifies the obscure effect of plasma. These consequences reveal that $O_2$ plasma etching is more effective than Ar plasma. After 30 days, the dissipation speed of accumulated charge on initially etched sample has not changed under summer season.

Reduction of reflection from PET (polyethylene terephthalate) film surface by natural plasma etching

  • Oh, Hoon;Song, Yu-Jin;Whang, Ki-Woong
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.1419-1424
    • /
    • 2006
  • We could reduce the reflection from PET(polyethylene terephthalate) film surface by natural plasma etching which does not use etch masks. The plasma etched PET film showed lower reflectance and higher transmittance which is resulted by making subwavelength structure(SWS) on the film surface by the plasma etch rate difference between the amorphous and crystalline region in the surface of PET film.

  • PDF

Investigation of Ge2Sb2Te5 Etching Damage by Halogen Plasmas (할로겐 플라즈마에 의한 Ge2Sb2Te5 식각 데미지 연구)

  • Jang, Yun Chang;Yoo, Chan Young;Ryu, Sangwon;Kwon, Ji Won;Kim, Gon Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.4
    • /
    • pp.35-39
    • /
    • 2019
  • Effect of Ge2Sb2Te5 (GST) chalcogen composition on plasma induced damage was investigated by using Ar ions and F radicals. Experiments were carried out with three different modes; the physical etching, the chemical etching, and the ion-enhanced chemical etching mode. For the physical etching by Ar ions, the sputtering yield was obtained according to ion bombarding energy and there was no change in GST composition ratio. In the plasma mode, the lowest etch rate was measured at the same applied power and there was also no plasma induced damage. In the ion-enhanced chemical etching conditions irradiated with high energy ions and F halogen radicals, the GST composition ratio was changed according to the density of F radicals, resulting in higher roughness of the etched surface. The change of GST composition ratio in halogen plasma is caused by the volatility difference of GST-halogen compounds with high energy ions over than the activation energy of surface reactions.

Dry etching of tin oxide thin films using an atmospheric pressure cold plasma (대기압 저온 플라스마에 의한 산화 주석 박막의 식각)

  • 이봉주;히데오미코이누마
    • Journal of the Korean Vacuum Society
    • /
    • v.10 no.4
    • /
    • pp.411-415
    • /
    • 2001
  • Using the plasma that we developed to generate a low-temperature plasma at atmospheric pressure, we have investigated the etching possibility of tin oxide $(SnO_2)$ thin films. Hydrogen and methane radicals generated from the plasma were observed and their intensity was found to be dependent on the cathode material by an analysis with optical emission spectroscopy as well as by the plasma impedance. The etching ability of this plasma was evaluated by an emission intensity as well as by the evaluation of impedance using a plasma I-V curve.

  • PDF

The Improvement of Surface Roughness of Poly-$Si_{1-x}Ge_x$Thin Film Using Ar Plasma Treatment (아르곤 플라즈마처리에 의한 다결정 $Si_{1-x}Ge_x$박막의 표면거칠기 개선)

  • 이승호;소명기
    • Journal of the Korean Ceramic Society
    • /
    • v.34 no.11
    • /
    • pp.1121-1128
    • /
    • 1997
  • In this study, the Ar plasma treatment was used to improve the surface roughness of Poly-Si1-xGex thin film deposited by RTCVD. The surface roughness and the resistivity of Si1-xGex thin film were investigated with variation of Ar plasma treatment parameters (electrode distance, working pressure, time, substrate temperature and R.F power). When the Ar plasma treatment was used, the cluster size decreased by the surface etching effect due to the increasing surface collision energy of particles (ion, neutral atom) in plasma under the conditions of decreasing electrode distance and increasing pressure, time, temperature, and R. F power. Although the surface roughness value decreased by the reduction of the cluster size due to surface etching effect, however, the resistivity increased. This may be due to the surface damage caused by the increasing surface collision energy. It was concluded that the surface roughness could be improved by the Ar plasma treatment, while the resistivity was increased by the surface damage on the substrate.

  • PDF

Helium/Oxygen Atmospheric Pressure Plasma Treatment on Poly(ethylene terephthalate) and Poly(trimethylene terephthalate) Knitted Fabrics: Comparison of Low-stress Mechanical/Surface Chemical Properties

  • Hwang Yoon Joong;McCord Marian G.;Kang Bok Choon
    • Fibers and Polymers
    • /
    • v.6 no.2
    • /
    • pp.113-120
    • /
    • 2005
  • Helium-oxygen plasma treatments were conducted to modify poly(trimethylene terephthalate) (PIT) and poly(ethylene terephthalate) (PET) warp knitted fabrics under atmospheric pressure. Lubricant and contamination removals by plasma etching effect were examined by weight loss $(\%)$ measurements and scanning electron microscopy (SEM) analysis. Surface oxidation by plasma treatments was revealed by x-ray photoelectron spectroscopy (XPS) analyses, resulting in formation of hydrophilic groups and moisture regain $(\%)$ enhancement. Low-stress mechanical properties (evaluated by Kawabata evaluation system) and bulk properties (air permeability and bust strength) were enhanced by plasma treatment. Increasing interfiber and interyarn frictions might play important roles in enhancing surface property changes by plasma etching effect, and then changing low-stress mechanical properties and bulk properties for both fabrics.