• Title/Summary/Keyword: PLASMA SURFACE TREATMENT

Search Result 989, Processing Time 0.026 seconds

Effects of Deposition Parameters on TiN Film by Plasma Assisted Chemical Vapor Deposition(I) -Influence of Temperature on the TiN Deposition- (플라즈마 화학 증착법(PACVD)에 의한 TiN 증착시 증착변수가 미치는 영향(I) -증착온도를 중심으로-)

  • Shin, Y.S.;Ha, S.H.;Kim, M.I.
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.2 no.4
    • /
    • pp.1-10
    • /
    • 1989
  • To investigate the influence of temperature on the TiN film, it was deposited on the STC-3 steel and Si-wafer from $TiCl_4/N_2/H_2$ gas mixture by using the radio frequency plasma assisted chemical vapor deposition. The deposition was performed at temperature of $400^{\circ}C-500^{\circ}C$. The results showed that crystalline TiN film was deposited over $480^{\circ}C$, and all specimens showed the crystalline TiN X-ray diffraction peaks after vacuum heat treatment for 3 hrs, at $1000^{\circ}C$, $10^{-5}torr$. While the film thickness was increased above $480^{\circ}C$, it was decreased under $480^{\circ}C$ as temperature increased. And the contents of titanium were increased and it of chlorine were decreased as temperature increased. Because temperature increase was attributed to the increase in the density of TiN film, surface hardness of TiN film was increased with temperature.

  • PDF

Effect of FTO coated on stainless steel bipolar plate for PEM fuel cells

  • Park, Ji-Hun;Jang, Won-Yeong;Byeon, Dong-Jin;Lee, Jung-Gi
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.05a
    • /
    • pp.55.2-55.2
    • /
    • 2009
  • A polymer electrolyte membrane (PEM) fuel cell has been getting large interest as a typical issue in useful applications. The PEMFC is composed of a membrane, catalyst and the bipolar plate. SnOx:F films on SUS316 stainless steel were prepared as a function of substrate with using electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD) in order to achieve the corrosion-resistant and low contact resistance bipolar plates for PEM fuel cells. The SnOx:F films coated on SUS316 substrate at surface plasma treatment for excellent stability, before/after heat treatment for good crystalline structure and microwave power for were characterized by X-ray diffraction (XRD), auger electron microscopy (AES) and field emission-scanning electron microscopy (FE-SEM). The SnOx:F film coated on SUS316 substrate with various process parameters were able to observe optimum interfacial contact resistance (ICR) and corrosion resistance. It can be concluded that fluorine-doping content plays an important function in electrical property and characteristic of corrosion-protective film.

  • PDF

Effect of Surface Modificaion on the Rheology and Property of CNTs/Epoxy Nanocomposites (표면 개질된 탄소나노튜브/에폭시 나노복합재료의 유변학적 거동과 물성)

  • Kim Jin Ah;Seong Dong Gi;Youn Jae Ryoun
    • Proceedings of the Korean Society For Composite Materials Conference
    • /
    • 2004.10a
    • /
    • pp.43-46
    • /
    • 2004
  • Multi-walled carbon nanotubes (MWNTs) produced by chemical vapor deposition were treated with acidic solution for purification and oxidization of CNTs. The surface modification of the oxidized CNTs was achieved by amine treatment and oxygen plasma treatment. The functionalized CNTs were embedded in the epoxy resin by sonication method and the resulting composite was investigated by FESEM. Rheological and mechanical properties of nanocomposites were measured by AR2000 and Instron. The rheological properties and dispersion of modified CNTs/epoxy composites were improved as CNTs were modified, because the modification of CNTs led to a improvement interaction between the CNTs and the epoxy resin. In addition to this, mechanical properties are also improved because of the effective stress transfer between the CNTs and the polymer.

  • PDF

Planarization of Diamond Films Using KrF Excimer Laser Processing (KrF 엑사이머 레이저 법을 이용한 다이아몬드 박막의 평탄화)

  • Lee, Dong-Gu
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.13 no.5
    • /
    • pp.318-323
    • /
    • 2000
  • The planarization of rough polycrystalline diamond films synthesized by DC arc discharge plasma jet CVD (chemical vapor deposition) was attempted using KrF excimer laser pulses. The effects of laser incidence angle and reaction gases (ozone and oxygen) on etching rate of diamond were studied. The temperature change of diamond and graphite with different laser fluences was calculated by computer simulation to explain the etching behavior of diamond films. The threshold energy density from the experiment for etching of pure crystalline diamond was about $1.7J/cm^2$ and fairly matched the simulation value. Preferential etching of a particular crystallographic plane was observed through scanning electron microscopy. The etching rate of diamond with ozone was lower than that with oxygen. When the angle of incidence was $80^{\circ}$ to the diamond surface normal, the peak-to-valley surface roughness was Significantly reduced from $20{\mu}m$ to $0.5{\mu}m$.

  • PDF

Fabrication Process of Light Emitting Diodes Using CdSe/CdS/ZnS Quantum Dot

  • Cho, Nam Kwang;Kang, Seong Jun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.428-428
    • /
    • 2013
  • Red color light emitting diodes were fabricated using CdSe/CdS/ZnS quantum dots (QDs). Patterned indium-tin-oxide (ITO) was used as a transparent anode, and oxygen plasma treatment on a surface of ITO was performed. Poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) was spin coated on the ITO surface as a hole injection layer. Then CdSe/CdS/ZnS QDs was spin coated and thermal treatment was performed for the cross-linking of QDs. TiO2 was coated on the QDs as an electron transport layer, and 150 nm of aluminum cathode was formed using thermal evaporator and shadow mask. The device shows a pure red color emission at 606 nm wavelength. Device characteristics will be presented in detail.

  • PDF

Dry Etching Properties of PAR (poly-arylate) Substrate for Flexible Display Application (플렉시블 디스플레이 응용을 위한 폴리아릴레이트 기판의 식각 특성)

  • Hwanga, Jin-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.29 no.12
    • /
    • pp.824-828
    • /
    • 2016
  • In this study, effects of ICP (inductively coupled plasma) treatment on PAR thin film have been investigated. A maximum etch rate of the PAR thin films and the selectivity of PAR to PR were obtained as 110 nm/minand 1.1 in the $CF_4/O_2$ (5:15 sccm) gas mixture. We present the surface properties of PAR thin film with various treatment conditions. The surface morphology and cross section of the PAR thin film was observed by AFM (atomic force microscopy) and FE-SEM (filed emission scanning electron microscopy).

Control of Wettability Using Regularly Ordered Two-Dimensional Polymeric Wavy Substrates

  • Yi, Dong Kee
    • Nano
    • /
    • v.13 no.10
    • /
    • pp.1850120.1-1850120.9
    • /
    • 2018
  • Two-dimensional poly(dimethylsiloxane) (PDMS) films with wavy patterns were studied in order to investigate reversible and irreversible wetting effects. Pre-strained, surface oxidized layers of PDMS were used to form relieved wavy geometries, on which hydrophobic functionalization was carried out in order to produce irreversible wetting effects. Wavy-patterned PDMS films showed time-dependent reversible wetting effects. The degree of surface wettability could be tuned by the choice of wavy groove geometries. And the groove geometries were controlled via $O_2$ plasma treatment and mechanical pre-straining. The pre-strained, buckled PDMS films were applied to the fabrication of hydrophobic polystyrene nano-patterns using colloidal self-assembly, where the colloids were arrayed in two-dimensional way. The wavy polystyrene films were found to be more hydrophobic relative to flat polystyrene films. The grooving methodology used in this study could be applied to enhancing the hydrophobicity of other types of polymeric thin films, eliminating the need for chemical treatment.

Adhesion Properties between Polyimide Film and Copper by Ion Beam Treatment and Imidazole-Silane Compound (이온빔 및 이미다졸-실란 화합물에 의한 폴리이미드 필름과 구리의 접착 특성)

  • Kang, Hyung Dae;Kim, Hwa Jin;Lee, Jae Heung;Suh, Dong Hack;Hong, Young Taik
    • Journal of Adhesion and Interface
    • /
    • v.8 no.1
    • /
    • pp.15-27
    • /
    • 2007
  • Polyimide (PI) surface modification was carried out by ion-beam treatment and silane-imidazole coupling agent to improve the adhesion between polyimide film and copper. Silane-imidazole coupling agent contains imidazole functional groups for the formation of a complex with copper metal through a coordination bonding and methoxy silane groups for the formation of siloxane polymers. The PI film surface was first treated by argon (Ar)/oxygen ($O_2$) ion-beam, followed by dipping it into a modified silane-imidazole coupling agent solution. The results of X-ray photoelectron spectroscopy (XPS) spectra revealed that the $Ar/O_2$ plasma treatment formed oxygen functional groups such as hydroxyl and carbonyl groups on the polyimide film surface and confirmed that the PI surface was modified by a coupling reaction with imidazole-silane coupling agent. Adhesion between copper and the treated PI film by ion-beam and coupling agent was superior to that with untreated PI film. In addition, adhesion of PI film treated by an $Ar/O_2$ plasma to copper was better than that of PI film treated by a coupling agent. The peeled-off layers from the copper-PI film joint were completely different in chemical composition each other. The layer of PI film side showed similar C1s, N1s, O1s spectra to the original Upilex-S and no Si and Cu atoms appeared. On the other hand the layer of copper side showed different C1s and N1s spectra from the original PI film and many Si and Cu atoms appeared. This indicates that the failure occurs at an interface between the imidazole-silane and PI film layers rather than within the PI layers.

  • PDF

Biologic stability of plasma ion-implanted miniscrews

  • Cho, Young-Chae;Cha, Jung-Yul;Hwang, Chung-Ju;Park, Young-Chel;Jung, Han-Sung;Yu, Hyung-Seog
    • The korean journal of orthodontics
    • /
    • v.43 no.3
    • /
    • pp.120-126
    • /
    • 2013
  • Objective: To gain basic information regarding the biologic stability of plasma ion-implanted miniscrews and their potential clinical applications. Methods: Sixteen plasma ion-implanted and 16 sandblasted and acid-etched (SLA) miniscrews were bilaterally inserted in the mandibles of 4 beagles (2 miniscrews of each type per quadrant). Then, 250 - 300 gm of force from Ni-Ti coil springs was applied for 2 different periods: 12 weeks on one side and 3 weeks contralaterally. Thereafter, the animals were sacrificed and mandibular specimens including the miniscrews were collected. The insertion torque and mobility were compared between the groups. The bone-implant contact and bone volume ratio were calculated within 800 mm of the miniscrews and compared between the loading periods. The number of osteoblasts was also quantified. The measurements were expressed as percentages and analyzed by independent t-tests (p < 0.05). Results: No significant differences in any of the analyzed parameters were noted between the groups. Conclusions: The preliminary findings indicate that plasma ion-implanted miniscrews have similar biologic characteristics to SLA miniscrews in terms of insertion torque, mobility, bone-implant contact rate, and bone volume rate.

The Plasma Modification of Polycarbonate and Polyethersulphone Substrates for Ta2O5 Thin Film Deposition (Ta2O5 박막증착에서 플라즈마 전 처리를 통한 Polycarbonate와 Polyethersulphone 기판의 표면 개질)

  • Kang, Sam-Mook;Yoon, Seok-Gyu;Jung, Won-Suk;Yoon, Dae-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.43 no.1 s.284
    • /
    • pp.38-41
    • /
    • 2006
  • Surface of PC (Polycarbonate) and PES (Polyethersulphone) treated by plasma modification with rf power from 50 W to 200 W substrates in Ar (3 sccm), $O_2$ (12 sccm) atmosphere. From the results of modified substrates in XPS (X-ray Photoelectron Spectroscopy), the ratio of oxide containing bond increased with rf power. As the rf power was 200 W, the contact angle was the lowest value of 14.09 degree. And the datum from AFM (Atomic Force Microscopy), rms roughness value of PES and PC substrates increased with rf power. We could deposit $Ta_2O_5$ with good adhesion on plasma treated PES and PC substrates using by in-situ rf magnetron sputter.