• 제목/요약/키워드: Out-of-order execution

검색결과 143건 처리시간 0.026초

토마술로 알고리즘을 이용하는 비순차실행 프로세서의 설계 및 모의실행 (The Design and Simulation of Out-of-Order Execution Processor using Tomasulo Algorithm)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제20권4호
    • /
    • pp.135-141
    • /
    • 2020
  • 오늘날 서버, 데스크탑, 노트북과 같은 범용 컴퓨터뿐만이 아니라, 가전, 임베디드 시스템에서 중앙처리장치는 대부분 멀티코어 프로세서로 구성된다. 멀티코어 프로세서의 성능향상을 위하여, 토마술로 알고리즘을 적용한 비순차실행 프로세서를 각 코어 프로세서로 이용하는 것이 요구된다. 토마술로 알고리즘을 적용한 비순차실행 프로세서는 명령어 간의 종속성이 없고 피연산자가 준비된 명령어를 순서와 관계없이 먼저 실행하고, 분기어 너머로 예측실행을 수행함으로써, 모든 명령어를 순서대로 실행하는 순차실행 프로세서보다 성능을 크게 높일 수가 있다. 본 논문에서는 VHDL의 레코드 데이터형을 이용하여 토마술로 알고리즘을 이용하는 비순차실행 프로세서를 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.

다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서의 성능 분석 (Performance Analysis of Multicore Out-of-Order Superscalar Processor with Multiple Basic Block Execution)

  • 이종복
    • 한국멀티미디어학회논문지
    • /
    • 제16권2호
    • /
    • pp.198-205
    • /
    • 2013
  • 본 논문에서는 다중블럭 실행을 이용하는 멀티코어 비순차 수퍼스칼라 프로세서 아키텍쳐의 성능을 분석하였다. 이것을 위하여 SPEC 2000 벤치마크를 입력으로 하며, 윈도우 크기가 32와 64이고 1개에서 4개의 다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서에 대하여 1 코어에서 16 코어까지 광범위한 모의실험을 수행하였다. 모의실험 결과, 4개의 다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서는 같은 사양에서 단일 블럭을 실행할 때보다 평균 22.0%의 성능 향상을 가져왔다.

완전한 파이프라인 방식의 비순차실행 프로세서의 설계 및 모의실행 (Design and Simulation for Out-of-Order Execution Processor of a Fully Pipelined Scheme)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제20권5호
    • /
    • pp.143-149
    • /
    • 2020
  • 현재 컴퓨터 시스템의 중앙처리장치로 멀티코어 프로세서가 주로 이용되고 있으며, 고성능의 비순차실행 프로세서를 각 코어로 채택하여 시스템의 성능을 극대화할 수 있다. 초기의 토마술로 알고리즘을 적용한 비순차실행 프로세서는 부동소수점 명령어를 목표로 하였고, 복잡한 구조를 갖는 재배열버퍼와 예약스테이션의 사용 때문에 그 실행에 여러 싸이클이 소요되었다. 그러나, 프로세서가 비순차실행을 제대로 활용하여 명령어의 처리량을 높이기 위해서는 완전한 파이프라인 방식으로 동작해야한다. 본 논문에서는 예측실행 기능이 있는 완전한 파이프라인 방식의 비순차실행 프로세서를 VHDL로 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.

레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 마이크로프로세서에 관한 연구 (Research on Conditional Execution Out-of-order Instruction Issue Microprocessor Using Register Renaming Method)

  • 최규백;김문경;홍인표;이용석
    • 한국통신학회논문지
    • /
    • 제28권9A호
    • /
    • pp.763-773
    • /
    • 2003
  • 본 논문에서는 조건부 실행 비순차적 명령어 이슈 컴퓨터 시스템에서의 레지스터 리네이밍 방법을 제안한다. 레지스터 리네이밍은 읽기 후 쓰기 그리고 쓰기 후 쓰기 의존성을 제거하는 기술이다. 레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 컴퓨터 시스템을 구현하기 위해서, 우리는 순차적 상태 물리적 레지스터와 미리보기 상태 물리적 레지스터들 양자를 모든 논리적 레지스터들이 공유할 수 있도록 포함하고 있는 레지스터 파일을 사용한다. 또한 본 논문에서 제안된 구조를 구현하기 위해서 순차적 상태 지시기, 리네이밍 상태 지시기, 물리적 레지스터 할당 지시기, 조건 예측 버퍼, 리오더 버퍼들을 구현한다. 이러한 모든 하드웨어를 이용해서, 레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 컴퓨팅 시스템의 레지스터 리네이밍 및 순차적 상태의 추적을 가능하게 한다. 본 논문에서는 위의 하드웨어를 사용하여 기존 레지스터 리네이밍 방법에 비해서 적은 하드웨어 비용으로 내용 검색(associative lookup)을 제거하고 짧은 복구 시간을 제공하는 개량된 레지스터 리네이밍 방법을 제안한다.

Proposition and Evaluation of Parallelism-Independent Scheduling Algorithms for DAGs of Tasks with Non-Uniform Execution Time

  • Kirilka Nikolova;Atusi Maeda;Sowa, Masa-Hiro
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2000년도 ITC-CSCC -1
    • /
    • pp.289-293
    • /
    • 2000
  • We propose two new algorithms for parallelism-independent scheduling. The machine code generated from the compiler using these algorithms in its scheduling phase is parallelism-independent code, executable in minimum time regardless of the number of the processors in the parallel computer. Our new algorithms have the following phases: finding the minimum number of processors on which the program can be executed in minimal time, scheduling by an heuristic algorithm for this predefined number of processors, and serialization of the parallel schedule according to the earliest start time of the tasks. At run time tasks are taken from the serialized schedule and assigned to the processor which allows the earliest start time of the task. The order of the tasks decided at compile time is not changed at run time regardless of the number of the available processors which means there is no out-of-order issue and execution. The scheduling is done predominantly at compile time and dynamic scheduling is minimized and diminished to allocation of the tasks to the processors. We evaluate the proposed algorithms by comparing them in terms of schedule length to the CP/MISF algorithm. For performance evaluation we use both randomly generated DAGs (directed acyclic graphs) and DACs representing real applications. From practical point of view, the algorithms we propose can be successfully used for scheduling programs for in-order superscalar processors and shared memory multiprocessor systems. Superscalar processors with any number of functional units can execute the parallelism-independent code in minimum time without necessity for dynamic scheduling and out-of-order issue hardware. This means that the use of our algorithms will lead to reducing the complexity of the hardware of the processors and the run-time overhead related to the dynamic scheduling.

  • PDF

도시철도차량의 성능시험 시행 및 현황 (An execution of the performance test for EMU)

  • 김원경;정종덕;홍용기;김명룡;배창한
    • 한국철도학회:학술대회논문집
    • /
    • 한국철도학회 2002년도 추계학술대회 논문집(I)
    • /
    • pp.131-136
    • /
    • 2002
  • Performance test is carried out in accordance with "performance test standard for Electrical Multiple Unit", noticed by Ministry of Construction & Transportation in 2000. The object of this standard is to ensure that vehicle is tested after completion and before entry into service. Performance test is classified by type test to be carried out for the first car and its components in order to verify their performance and by routine test to be carried out on the whole quantity in order to prove the performance of individual items. Scope of the test is divided by components, completed car, preliminary adjustment test and running test on main line. The paper introduces a testing procedure and an execution method of the performance test.

  • PDF

멀티코어 비순차 수퍼스칼라 프로세서의 성능 연구 (A Performance Study of Multi-core Out-of-Order Superscalar Processor Architecture)

  • 이종복
    • 전기학회논문지
    • /
    • 제61권10호
    • /
    • pp.1502-1507
    • /
    • 2012
  • In order to overcome the hardware complexity and power consumption problems, recently the multi-core architecture has been prevalent. For hardware simplicity, usually RISC processor is adopted as the unit core processor. However, if the performance of unit core processor is enhanced, the overall performance of the multi-core processor architecture can be further increased. In this paper, out-of-order superscalar processor is utilized for the multi-core processor architecture. Using SPEC 2000 benchmarks as input, the trace-driven simulation has been performed for the out-of-order superscalar cores between 2 and 16 extensively. As a result, the 16-core out-of-order superscalar processor for the window size of 16 resulted in 17.4 times speed up over the single-core out-of-order superscalar processor, and 50 times speed up over the single core RISC processor. When compared for the same number of cores on the average, the multi-core out-of-order superscalar processor performance achieved 3.2 times speed up over the multi-core RISC processor and 1.6 times speed up over the multi-core in-order superscalar processor.

행정대집행 현장에서 민간경비업체의 폭력 유발 원인 분석과 대책 (Analysis and countermeasure of causes of inducing violence of private security companies on the actual sites of administrative execution by proxy)

  • 최기남
    • 시큐리티연구
    • /
    • 제18호
    • /
    • pp.119-141
    • /
    • 2009
  • 행정대집행은 행정의 강제집행수단의 하나로 행정법상의 의무를 이행하지 않은 자를 대신하여 행정관청이나 제3자가 대행하고 소요비용을 의무자에게 청구하는 제도로 "강제대집행"이라고도 한다. 법에 의한 행정집행의 현장임에도 불구하고 물리력에 의한 강제집행이 시행됨으로 인하여 시행자와 의무자 사이에 온갖 폭력과 인권유린 행위가 난무하고 인적피해가 발생하여 사회문제화 되고 있으며, 경찰에 고발과 인권위원회에 진정하는 등의 경우가 점차 증가세에 있다. 이런 폭력의 현장에 동원되는 인원은 대부분 용역을 제공하는 민간경비업체가 공급하고 있으며, 경비원 자격이 없는 인원의 동원과 폭력조직과 연계된 소위 용역깡패의 무리한 대집행과 폭력행위가 문제 되고 있다. 이런 폭력의 현장에는 경제적 이권과 주거권, 생계형 투쟁, 외부의 개입 등 구조적으로 복잡한 문제가 내포되어 있다. 본 논문은 민간경비업체의 인원동원에 관심을 가지고 대집행 현장의 폭력의 유형과 폭력이 발생하는 원인을 분석하고 개선대책을 논의하였다. 그 결과로는 법과 제도적 개선으로 대집행의 현장에는 필히 시행청과 경찰관이 입회하여 민간경비업체의 물리적 집행이 합법적으로 이행되도록 통제하여야 하며, 폭력적 충돌양상이 발생하면 즉시 경찰이 개입하도록 명시하여야 한다. 시행청의 대집행에 대한 관행을 탈피하여 신중한 대집행 결정과 성과위주의 용역계약조건의 해소, 문제발생시 시행청의 책임 명시 등 수주과정에서의 폭력유발 요인을 제거해야 한다. 의무자의 집단행동을 통한 민원해결의 타성을 타파하고 공무집행의 방해나 대집행 비용의 청구 등 엄격한 법집행이 이루어져야하고, 제3자의 개입을 차단하여야 한다. 경비업체의 인원동원은 경비업법에 의한 자격과 교육을 이수한 인원으로 사전에 등록된 인원으로 제한하여야하며, 현장투입 전 관할 경찰관서에 근무지와 임무, 근무수칙 등을 명확히 기록한 집행계획서를 제출하도록 의무화하고, 복장, 장비 등 법규를 준수하도록 통제되어야 한다. 또한 폭력행위에 대한 개인의 형사적 책임을 명확히 하고, 사고 경력에 대한 수주의 제한 등 업체의 건전성 확보대책이 요구된다. 재활사업이란 명목의 특수단체의 수주행위가 근절되고, 도급과 하도급의 고리를 차단하여 능력과 법의 준수의지를 가진 업체가 수주하도록 해야 한다. 등이다. 주거권과 환경 등 사회문제, 생계, 보상 등의 개선대책 문제는 논외로 하였다.

  • PDF

전자상거래 경영측면의 전략과 경영실천유형 및 경영성과 간의 구조적 연구 (A Structural Study On The Relationships Among Business Strategies, Managerial Execution Types And Management Performances in the e-Business.)

  • 오상영
    • 한국산학기술학회논문지
    • /
    • 제10권6호
    • /
    • pp.1369-1375
    • /
    • 2009
  • 본 연구는 전자상거래 사업을 추진하는 기업을 대상으로 연구하였다. 전자상거래 활성화를 위해 기업이 추진하는 경영전략, 마케팅, 구매/물류, 서비스 측면의 전략이 지식 경영 실천 유형인 시스템적 접근, 행동론적 접근 방식에 구조적으로 어떠한 영향을 미치는지 분석하였다. 또한 지식 경영 실천 유형은 경영성과에 어떠한 영향을 미치는지 분석하였다. 결과적으로 경영전략, 마케팅, 구매/물류, 서비스 측면의 전략은 시스템적 접근, 행동론적 접근 방식에 구조적으로 양의 영향을 미치는 것으로 나타났다. 또한 지식 경영 실천 유형도 경영 성과에 양의 영향을 미치는 것으로 나타났다.

IC 설계용 집적형 캐드 시스템의 구현 (An Implementation of integrated CAD system of IC design)

  • 공진흥;김성중;김재협
    • 전자공학회논문지A
    • /
    • 제30A권1호
    • /
    • pp.73-85
    • /
    • 1993
  • This paper presents a design and implementation of CAD(Computer-Aided Design) system with tools and design environments for IC(Intergrated Circuits)design. The CAD system can be easily installed in various sites with limited resources, since most CAD tools and design environments are available in the public-domain and Unix & X Window-based PC-386 and Workstation is used for the hardware platform. In order to improve the flexibility of the CAD system, objects are defined in the context of tools and environments` and object tables are programmed to describe the integration of CAD tools and design environments. During the execution, tool-objects deal with intertool communication and round-robin mechanism to incrementally control the execution of CAD tools. The IC design of LPC(Linear Predictive Coding) Speech Synthesizer is carried out to find out improvements and bugs of the CAD system.

  • PDF