• Title/Summary/Keyword: Optical emission spectroscopy

Search Result 464, Processing Time 0.036 seconds

Optical Emission Studies of a Plume Produced by Laser Ablation of a Graphite Target in a Nitrogen Atmosphere

  • Park, Hye-Sun;Nam, Sang-Hwan;Park, Seung-Min
    • Bulletin of the Korean Chemical Society
    • /
    • v.25 no.5
    • /
    • pp.620-624
    • /
    • 2004
  • Optical emission studies were performed to investigate thermal and dynamical properties of a plume produced by laser ablation of a graphite target in a nitrogen atmosphere. Experimental spectra of $C_2(d^3{\Pi}_g{\to}a^3{\Pi}_u$, ${\Delta}_V$=1) and CN ($B^2{\Sigma}^+{\to}X^2{\Sigma}^+,{\Delta}_V=0)$ were simulated to obtain the vibrational and rotational temperatures of the electronically excited species at various laser fluences and distances from the target. The spectroscopic temperatures of both molecules were found to be nearly independent of the laser fluence. The temperature of CN molecules was peaked in the middle of the plume while that of $C_2$decreased with increase in the distance. At a given distance, the temperature of CN molecules was clearly higher than that of $C_2$.

Diagnosis of $BCl_3$ and $BCl_3$/Ar Plasmas with an Optical Emission Spectroscopy during High Density Planar Inductively Coupled Dry Etching (평판형 고밀도 유도결합 건식 식각시 Optical Emission Spectroscopy를 이용한 $BCl_3$$BCl_3$/Ar 플라즈마의 분석)

  • Cho, Guan-Sik;Wantae Lim;Inkyoo Baek;Seungryul Yoo;Park, Hojin;Lee, Jewon;Kuksan Cho;S. J. Pearton
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.03a
    • /
    • pp.88-88
    • /
    • 2003
  • Optical Emission Spectroscopy(OES) is a very important technology for real-time monitoring of plasma in a reactor during dry etching process. OES technology is non-invasive to the plasma process. It can be used to collect information on excitation and recombination between electrons and ions in the plasma. It also helps easily diagnose plasma intensity and monitor end-point during plasma etch processing. We studied high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar plasma with an OES as a function of processing pressure, RIE chuck power, ICP source power and gas composition. The scan range of wavelength used was from 400 nm to 1000 nm. It was found that OES peak Intensity was a strong function of ICP source power and processing pressure, while it was almost independent on RIE chuck power in BCl$_3$-based planar ICP processes. It was also worthwhile to note that increase of processing pressure reduced negatively self-induced dc bias. The case was reverse for RIE chuck power. ICP power and gas composition hardly had influence on do bias. We will report OES results of high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar Plasma in detail in this presentation.

  • PDF

Real Time Endpoint Detection in Plasma Etching Using Decision Making Algorithm (플라즈마 식각 공정에서 의사결정 알고리즘을 이용한 실시간 식각 종료점 검출)

  • Noh, Ho-Taek;Park, Young-Kook;Han, Seung-Soo
    • Journal of IKEEE
    • /
    • v.20 no.1
    • /
    • pp.9-15
    • /
    • 2016
  • The endpoint detection (EPD) is the most important technique in plasma etching process. In plasma etching process, the Optical Emission Spectroscopy (OES) is usually used to analyze plasma reaction. And Plasma Impedance Monitoring (PIM) system is used to measure the voltage, current, power, and load impedance of the supplied RF power during plasma process. In this paper, a new decision making algorithm is proposed to improve the performance of EPD in SiOx single layer plasma etching. To enhance the accuracy of the endpoint detection, both OES data and PIM data are utilized and a newly proposed decision making algorithm is applied. The proposed method successfully detected endpoint of silicon oxide plasma etching.

The Study on In-situ Diagnosis of Chemical Vapor Deposition Processes (화학기상증착 진공공정의 실시간 진단연구)

  • Jeon, Ki-Moon;Shin, Jae-Soo;Lim, Sung-Kyu;Park, Sang-Hyun;Kang, Byoung-Koo;Yune, Jin-Uk;Yun, Ju-Young;Shin, Yong-Hyeon;Kang, Sang-Woo
    • Journal of the Korean Vacuum Society
    • /
    • v.20 no.2
    • /
    • pp.86-92
    • /
    • 2011
  • The diagnosis studies of the process of chemical vapor deposition were carried out by using in-situ particle monitor (ISPM) and self-plasma optical emission spectroscopy (SPOES). We used the two kinds of equipments such as the silicon plasma enhanced chemical vapor deposition system with silane gas and the borophosphosilicate glass depositon system for monitoring. Using two sensors, we tried to verify the diagnostic and in-situ sensing ability of by-product gases and contaminant particles at the deposition and cleaning steps. The processes were controlled as a function of precess temperature, operating pressure, plasma power, etc. and two sensors were installed at the exhaust line and contiguous with each other. the correlation of data (by-product species and particles) measured by sensors were also investigated.

Experimental Investigation of Steam Plasma Characteristics for High Energy Density Metal Powder Ignition Using Optical Emission Spectroscopy Method (OES 방법을 이용한 고에너지 금속 분말 점화용 스팀 플라즈마 특성에 관한 실험적 고찰)

  • Lee, Sang-Hyup;Ko, Tae-Ho;Yoon, Woong-Sup
    • Proceedings of the Korean Society of Propulsion Engineers Conference
    • /
    • 2012.05a
    • /
    • pp.545-550
    • /
    • 2012
  • High Energy density metal powder has high melting point of oxide film. By this, the ignition source that can make a thermal effect of high-temperature during short time is needed to overcome ignition disturbance mechanism by oxide film. So effective ignition does not occurred with hydrocarbon ignitor, $H_2-O_2$ ignitor, high power laser. But steam plasma can be generate about 5000 K temperature field in short order. Because a steam plasma uses steam as the working gas, it is environmental-friendly and economical. Therefore in this study, we analyze steam plasma temperature field and radical species with optical emission spectroscopy method in order to apply steam plasma ignitor to metal combustion system and cloud particle ignition was identified in visual.

  • PDF

저주파 및 고주파 구동 대기압 플라즈마 젯의 특성 비교

  • Gwon, Yang-Won;Baek, Eun-Jeong;Eom, In-Seop;Jo, Hye-Min;Kim, Seon-Ja;Jeong, Tae-Hun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.194.2-194.2
    • /
    • 2016
  • 저주파 (수십 kHz)와 고주파 (13.56 MHz)로 구동되는 대기압 플라즈마 젯을 발생시키고, 인가전압 (혹은 인가전력)과 기체 유량에 따른 대기압 플라즈마의 특성을 비교하였다. 고주파에서 발생된 플라즈마는 저주파의 경우보다 안정적이었으며, 인가전압 (혹은 인가전력)이 증가함에 따라 플라즈마 기체온도는 상승하였고, 고주파 젯의 기체온도는 저주파 젯 보다 높았으나 330 K이하인 것을 확인하였다. Optical Emission Spectroscopy (OES)를 이용하여 저주파와 고주파의 광 방출 특성을 측정하였다. 저주파에서는 $N_2{^+}$ (391.4 nm)의 intensity 증가가 두드러지게 나타났지만 고주파 젯에서는 $N_2$, $N_2{^+}$의 intensity는 감소하였으며, OH, NO, $H_{\alpha}$, O와 같은 활성 산소 종 (Reactive Oxygen Species)이 저주파 젯 보다 높게 측정되었다. Boltzmann plot method를 이용한 분석을 통해 저주파와 고주파 영역에서의 플라즈마 전자 여기 온도를 측정하였다. 또한 자외선 흡수분광법을 이용하여 플라즈마-액체 계면에서의 OH이 입자밀도를 측정하여 OES방법으로 측정한 OH 밀도와 비교하였다. 그리고 화학적 측정법 (terephtalic acid solution)을 이용하여 액체 내의 OH의 농도를 측정하였다.

  • PDF

The Study of Etching Characteristic in $SrBi_2$$Ta_2$$O_9$ Thin Film by Optical Emission Spectroscopy (OES를 이용한 SBT 박막의 식각 특성 연구)

  • 신성욱;김창일;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.3
    • /
    • pp.185-189
    • /
    • 2001
  • In this paper, since the research on the etching of SrBi$_2$Ta$_2$$O_{9}$(SBT) thin film was few (specially Cl$_2$-base) we had studied the surface reaction of SBT thin films. We have used the OES(optical emission spectroscopy) in high density plasma etching as a function of RF power, dc bias voltage, and Cl$_2$/(Cl$_2$+Ar) gas mixing ratio. It had been found that the etch rate of SBT thin films appeared to be more affected by the physical sputtering between Ar ions and surface of the SBT compared to the chemical reaction. The change of Cl radical density that was measured by the OES as a function of gas combination showed the change of the etch rate of SBT thin films. Therefore, the chemical reactions between Cl radical in plasma and components of the SBT enhanced to increase the etch rates SBT thin films. These results were confirmed by XPS(x-ray photoelectron spectroscopy) analysis.s.

  • PDF

Toward Efficacy Improvement in a PDP Discharge Cell from Structural Considerations

  • Tachibana, Kunihide
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.20-23
    • /
    • 2009
  • The efficacy improvement issues in a unit discharge cell have been approached from the structural considerations. The tested cell designs include (a) a coplanar type with annular auxiliary electrode buried in barrier ribs, (b) a coplanar type with split auxiliary electrodes also burred in barrier ribs and (c) a coaxial type with a floating electrode stacked on the base electrode. From spatiotemporally resolved optical images of near-IR emission taken by a gated-ICCD camera and relative VUV emission intensity estimated by laser absorption spectroscopy, the differences in the discharge and light emission performances of those three cell types have been compared and discussed.

  • PDF

Distant Quasars: Black hole mass growth and dust emission

  • Jun, Hyunsung D.
    • The Bulletin of The Korean Astronomical Society
    • /
    • v.40 no.1
    • /
    • pp.43.2-43.2
    • /
    • 2015
  • The massive limit of black holes (BHs) is observed as present day ten billion solar masses. We search for observational signatures of BHs that become extremely massive (EMBHs, 1-10 billion solar masses). I will report on the evolution of active galactic nuclei (AGNs) through the growth of BH mass and their dust emission strength. First, we measured 26 EMBH masses of quasars at 1

  • PDF

Surface Reaction of Ru Thin Films Etched in CF 4/O2 Gas Chemistry (CF4/O2 Gas Chemistry에 의해 식각된 Ru 박막의 표면 반응)

  • 임규태;김동표;김경태;김창일;최장현;송준태
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.12
    • /
    • pp.1016-1020
    • /
    • 2002
  • Ru thin films were etched using CF/$_4$O$_2$ plasma in an ICP (inductively coupled plasma etching) system. The maximum etch rate of Ru thin films was 168 nm/min at a CF$_4$/O$_2$ gas mixing ratio of 10 %. The selectivity of SiO$_2$ over Ru was 1.3. From the OES (optical emission spectroscopy) analysis, the optical emission intensity of the O radical had a maximum value at 10% CF$_4$ gas concentration and drcrease with further addition of CF4 gas, but etch slope was enhanced. From XPS (x-ray photoelectron spectroscopy) analysis, the surface of the etched Ru thin film in CF$_4$/O$_2$ chemistry shows Ru-F bonds by the chemical reaction of Ru and F. RuF$_{x}$ compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. From a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89$^{\circ}$.>.