• 제목/요약/키워드: Optical Fault

검색결과 76건 처리시간 0.039초

기저상태계산 문제에 대한 양자컴퓨팅의 성능 분석 (Quantum Computing Performance Analysis of the Ground-State Estimation Problem)

  • 최병수
    • 한국광학회지
    • /
    • 제29권2호
    • /
    • pp.58-63
    • /
    • 2018
  • 최근 양자프로세서와 관련한 연구개발이 본격화되면서 실제 수행가능 한 양자계산량도 계속 증가하고 있다. 이에 양자컴퓨팅은 본격적으로 활용화단계로 진입하고 있다고 볼 수 있다. 다만 아직은 큰 규모의 양자컴퓨팅이 가능하지 않기 때문에 작은 규모의 문제이지만 고전컴퓨팅으로는 해결하기 힘들고, 양자컴퓨팅으로는 효과적으로 계산할 수 있는 문제를 대상으로 하고 있다. 본 연구에서는 이와 관련하여 양자컴퓨터를 이용한 작은 크기의 양자시뮬레이션분야의 실질적인 계산성능에 대한 정량적인 분석 결과를 보고한다. 분석결과 현재까지의 결함허용 기반 양자컴퓨팅은 양자계산성능의 측면에서 다양한 문제점을 갖고 있음을 확인하였다. 본 연구에서는 이와 관련하여 향후 수행해야 할 연구개발 내용을 정리하였다.

Fault Detection with OES and Impedance at Capacitive Coupled Plasmas

  • 최상혁;장해규;채희엽
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.499-499
    • /
    • 2012
  • This study was evaluated on etcher of capacitive coupled plasmas with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and C4F8 plasma with variable change such as pressure and addition of gas (Atmospheric Leak: N2 and O2), RF, pressure, that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by Vi probe was analyzed by statistical method to determine healthy of process. The main goal of this study is to understand unwanted tool performance to eventually improve productive capability. It is important for process engineers to actively adjust tool parameter before any serious problem occurs.

  • PDF

Real-time In-situ Plasma Etch Process Monitoring for Sensor Based-Advanced Process Control

  • Ahn, Jong-Hwan;Gu, Ja-Myong;Han, Seung-Soo;Hong, Sang-Jeen
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제11권1호
    • /
    • pp.1-5
    • /
    • 2011
  • To enter next process control, numerous approaches, including run-to-run (R2R) process control and fault detection and classification (FDC) have been suggested in semiconductor manufacturing industry as a facilitation of advanced process control. This paper introduces a novel type of optical plasma process monitoring system, called plasma eyes chromatic system (PECSTM) and presents its potential for the purpose of fault detection. Qualitatively comparison of optically acquired signal levels vs. process parameter modifications are successfully demonstrated, and we expect that PECSTM signal can be a useful indication of onset of process change in real-time for advanced process control (APC).

가변 파장형 적외선 센서를 이용한 변압기 결함 진단 (Fault Analysis of Transformer using Tunable Infrared Gas Sensors)

  • 이근호;이승환
    • 센서학회지
    • /
    • 제32권1호
    • /
    • pp.55-61
    • /
    • 2023
  • The objective of this study is to determine the concentrations of mixed gases by establishing a diagnosis method of a transformer using tunable-wavelength optical infrared sensors. Absorption of infrared light by methane, acetylene, and ethylene gases injected is measured from the outputs of the infrared sensors. Regression analysis equations of the gas concentrations are acquired from their respective measured absorption. The obtained concentrations are as follows: -3-9 % errors above 600 ppm(methane), 3 % errors above 1200 ppm(acetylene), and 10 % errors above 500 ppm(ethylene). The concentration inference equations obtained using the individual gases are applicable when the absorption wavelength bands do not overlap. The results of the fault analysis of a transformer using the Duval triangle method and the tunable infrared gas sensors are as follows: temperature faults with -1-1% errors and energy faults with -7-7 % errors.

경주시 양북면 단층암의 광물 조성과 입도 분포 특징 (Mineral Composition and Grain Size Distribution of Fault Rock from Yangbuk-myeon, Gyeongju City, Korea)

  • 송수정;추창오;장천중;장태우;장윤득
    • 자원환경지질
    • /
    • 제45권5호
    • /
    • pp.487-502
    • /
    • 2012
  • 경주시 양북면 용당리에 발달한 단층암을 대상으로 X선 회절 분석(XRD), 광학현미경 분석, 레이저 입도 분석, 프랙탈 차원 분석을 적용하여 단층암의 광물 조성과 미구조, 잔류입자의 분포 특성을 연구하였다. 단층핵은 약 1.5 m 두께로 발달하며 이 중 각력대는 약 1.2m, 단층핵의 가장 중심부인 비지대는 평균 20cm의 얇은 두께로 노출되어 있다. 각력대에서는 석영, 장석류 등의 조암광물이 주 구성 광물로서 산출되고 비지대에서는 녹니석, 일라이트 등의 점토광물이 주 구성 광물로서 산출된다. 단층암에서 빈번하게 산출되는 맥상광물, 황철석, 변질 광물 등은 단층활동과 더불어 열수변질 작용이 수반되었음을 시사하고 있다. 현미경에 의한 구획 점셈(box counting), Image J에 의한 영상분석 및 레이저 입도 분석에 기초한 프랙탈 차원값은 단층암의 입자 파쇄 특성을 잘 보여준다. 세 가지 방법으로 구한 프랙탈 차원값(D)은 각력대에서 비지대로 갈수록 그 값이 증가하고 비지대 내에서는 공통적으로 높은 차원값을 갖는다. 비지대 내에서는 D값의 변화가 상대적으로 적으며, 일정한 경향성이 나타나지 않는다. 이는 각력대에서는 입자들의 대량파쇄가 우세하게 발생하고, 단층운동이 계속되어 생성된 비지대에서는 입자의 마모가 보다 우세하게 발생하였음을 시사한다. 연구지역 단층암의 광물 조성과 입자 분포 특성은 다중 단층 운동과 열수변질 작용이 본 연구지역 단층대의 진화에 큰 영향을 끼쳤음을 지시한다. 단층암에서의 프랙탈 차원값이 측정 기법에 따라 차이가 발생하므로 프랙탈 차원값의 상대적인 비교는 보다 신중히 이용되어야 될 것으로 생각된다.

WDM 광전송시스템의 감시제어 기능실현을 위한 경보신호 적용에 관한 연구 (A Study on the Application of Alarm Signals for the Realization of OAM Function in the WDM Optical Transmission System)

  • 이창기;차영욱
    • 한국정보처리학회논문지
    • /
    • 제7권9호
    • /
    • pp.2958-2968
    • /
    • 2000
  • WDM 시스템에서 효과적으로 감시제어 기능을 수행하기 위해서는 경보신호의 적용이 요구된다. 그러나 광전달망의 각 계층별 적용되어야 할 경보신호에 대한 연구는 현재까지 아직 기초적인 상태에 머물러 있고, 그리고 감시채널의 구조와 구성에 대해서 제안된 연구결과가 없다. 따라서 본 논문은 ITU-T 광전달망의 요구사항을 기초로 하고, 자국과 대구 및 텐덤 구간의 신호 감시기법을 적용하여 단국형과 ADM형 WDM 시스템 모두에 적용할 수 있는 각 계층별 경보신호와 이의 전파 체계를 제시하였고, 감시채널의 구성 및 오버헤드 구조를 보였다. 또한 단국형과 ADM 형의 WDM 시스템에서 다양하게 발생할 수 있는 장애상태에서도 감시제어 기능을 효과적으로 수행할 수 있음을 보였다.

  • PDF

OBS 망에서 복구 시간과 자원의 효율성을 고려한 장애 복구 기법 (Fault-Management Scheme for Recovery Time and Resource Efficiency in OBS Networks)

  • 이해정;정태근;소원호;김영천
    • 한국통신학회논문지
    • /
    • 제28권9B호
    • /
    • pp.793-805
    • /
    • 2003
  • 헤더 정보와 버스트를 분리하여 전송되는 광 버스트 스위칭 망에서 장애의 발생은 심각한 서비스 품질 저하를 초래할 수 있으므로 신속한 복구 대책을 수립하여 망에서 발생하는 장애의 영향을 최소화하여야 한다. 본 논문에서는 먼저 기존에 제안된 복구 기법을 광 버스트 스위칭 망에 적용하여 각 링크의 장애에 따른 망 이용률 분석 및 버스트 손실률 등을 비교 평가하였다. 이에 대한 격과를 고려하여 망 구성 형태와 장애 링크의 위치를 고려한 ASPR (Area border router Sub-Path Restoration) 기법을 제안하였다. 제안된 기법은 장애 복구 지연 시간과 파장 변환기의 사용 효율성 관점에서 좋은 성능을 보이며 버스트 손실률, 망 자원 이용률, 그리고 버스트 처리율 관점에서 다른 기법과 비교 평가하였다.

A Preliminary Research on Optical In-Situ Monitoring of RF Plasma Induced Ion Current Using Optical Plasma Monitoring System (OPMS)

  • Kim, Hye-Jeong;Lee, Jun-Yong;Chun, Sang-Hyun;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.523-523
    • /
    • 2012
  • As the wafer geometric requirements continuously complicated and minutes in tens of nanometers, the expectation of real-time add-on sensors for in-situ plasma process monitoring is rapidly increasing. Various industry applications, utilizing plasma impedance monitor (PIM) and optical emission spectroscopy (OES), on etch end point detection, etch chemistry investigation, health monitoring, fault detection and classification, and advanced process control are good examples. However, process monitoring in semiconductor manufacturing industry requires non-invasiveness. The hypothesis behind the optical monitoring of plasma induced ion current is for the monitoring of plasma induced charging damage in non-invasive optical way. In plasma dielectric via etching, the bombardment of reactive ions on exposed conductor patterns may induce electrical current. Induced electrical charge can further flow down to device level, and accumulated charges in the consecutive plasma processes during back-end metallization can create plasma induced charging damage to shift the threshold voltage of device. As a preliminary research for the hypothesis, we performed two phases experiment to measure the plasma induced current in etch environmental condition. We fabricated electrical test circuits to convert induced current to flickering frequency of LED output, and the flickering frequency was measured by high speed optical plasma monitoring system (OPMS) in 10 kHz. Current-frequency calibration was done in offline by applying stepwise current increase while LED flickering was measured. Once the performance of the test circuits was evaluated, a metal pad for collecting ion bombardment during plasma etch condition was placed inside etch chamber, and the LED output frequency was measured in real-time. It was successful to acquire high speed optical emission data acquisition in 10 kHz. Offline measurement with the test circuitry was satisfactory, and we are continuously investigating the potential of real-time in-situ plasma induce current measurement via OPMS.

  • PDF

반 자율형 무인 잠수정(SAUV) 선상제어 시스템 설계 (Design of on-ship Control System for a Semi-Autonomous Underwater Vehicle)

  • 이지홍;이필엽;전봉환
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2003년도 하계종합학술대회 논문집 V
    • /
    • pp.2685-2688
    • /
    • 2003
  • A PC-based system for both monitoring and controlling SAUV is developed. The developed system is located on a ship and communicate with the SAUV through optical link through which the system sends motion command and receives video data, SSBL and Digital I/O data. The motion command includes velocity data and direction data. The overall system is developed with the intention of easy operation for operator and safe motion of SAUV. The easy operation is realized by GUI-based interface and the safe motion is realized by fault tolerant capability.

  • PDF

Sensitivity Enhancement of RF Plasma Etch Endpoint Detection With K-means Cluster Analysis

  • Lee, Honyoung;Jang, Haegyu;Lee, Hak-Seung;Chae, Heeyeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.142.2-142.2
    • /
    • 2015
  • Plasma etch endpoint detection (EPD) of SiO2 and PR layer is demonstrated by plasma impedance monitoring in this work. Plasma etching process is the core process for making fine pattern devices in semiconductor fabrication, and the etching endpoint detection is one of the essential FDC (Fault Detection and Classification) for yield management and mass production. In general, Optical emission spectrocopy (OES) has been used to detect endpoint because OES can be a simple, non-invasive and real-time plasma monitoring tool. In OES, the trend of a few sensitive wavelengths is traced. However, in case of small-open area etch endpoint detection (ex. contact etch), it is at the boundary of the detection limit because of weak signal intensities of reaction reactants and products. Furthemore, the various materials covering the wafer such as photoresist (PR), dielectric materials, and metals make the analysis of OES signals complicated. In this study, full spectra of optical emission signals were collected and the data were analyzed by a data-mining approach, modified K-means cluster analysis. The K-means cluster analysis is modified suitably to analyze a thousand of wavelength variables from OES. This technique can improve the sensitivity of EPD for small area oxide layer etching processes: about 1.0 % oxide area. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as EPD.

  • PDF