• Title/Summary/Keyword: Optical Emission Spectroscopy

Search Result 464, Processing Time 0.032 seconds

Neural Network Modeling of Actinometric Optical Emission Spectroscopy Information for Mo nitoring Plasma Process (플라즈마 공정 감시를 위한 Actinometric 광방사분광기 정보의 신경망 모델링)

  • Kwon, Sang-Hee;Bo, Kwang;Lee, Kyu-Sang;Uh, Hyung-Soo;Kim, Byung-Whan
    • Proceedings of the KIEE Conference
    • /
    • 2007.10a
    • /
    • pp.177-178
    • /
    • 2007
  • 플라즈마 공정은 집적회로 제작을 위한 미세 박막의 증착과 패턴닝에 핵심적으로 이용되고 있다. 본 연구에서는 플라즈마공정감시와 제어에 응용될 수 있는 모델을 제안한다. 본 모델은 광방사분광기 (Optical emission spectroscopy-OES)정보와 역전파 신경망을 이용해서 개발하였다. 제안된 기법은 Oxide 식각공정에서 수집한 데이터에 적용하였으며, 체계적인 모델링을 위해 공정데이터는 통계적 실험계획법을 적용하여 수집되었다. Raw OES 정보대신, Actinometric OES 정보를 이용하였으며, 신경망의 예측성능은 유전자 알고리즘을 이용해서 증진시켰다. OES의 차수를 줄이기 위해 주인자 분석 (Principal Component Analysis-PCA)을 세 종류의 분산(100, 99, 98%)에 대해서 적용하였다. 최적화한 모델의 예측에러는 323 $\AA/min$이었다. 이전에 PCA를 적용하고 은닉층 뉴런의 함수로 최적화한 모델의 예측에러는 570 $\AA/min$이었으며, 개발된 모델은 이에 비해 43% 증진된 예측 성능을 보이고 있다.

  • PDF

A Study on the Dielectric Barrier Discharges Plasmas of Flat Atmospheric Pressure Using an AC Pulse Voltage (교류 펄스 전압을 이용한 평판형 대기압 유전격벽방전 플라즈마의 특성 분석)

  • Lee, Jong-Bong;Ha, Chang-Seung;Kim, Dong-Hyun;Lee, Ho-Jun;Lee, Hae-June
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.61 no.5
    • /
    • pp.717-720
    • /
    • 2012
  • Various types of dielectric-barrier-discharge (DBD) devices have been developed for diverse applications for the last decade. In this study, a flat non-thermal DBD micro plasma source under atmospheric pressure has been developed. The flat-panel type plasma is generated by bipolar pulse voltages, and driving gas is air. In this study, the plasma source was investigated with intensified charge coupled device (ICCD) images and Optical Emission Spectroscopy (OES). The micro discharges are generated on the crossed electrodes. For theoretical analysis, 2-dimensional fluid simulation was performed. The plasma source can be driven in air, and thus the operation cost is low and the range of application is wide.

A Study on Pumping Effect of Oxygen in Polysilicon Gate Etching

  • Kim, Nam-Hoon;Shin, Sung-Wook;Bin, Shin-Seok;Yu chang-Il kim;Chang, Eui-Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.1 no.2
    • /
    • pp.1-6
    • /
    • 2000
  • This article presents the experiments and considerations possible about gate etching in polysilicon when oxygen gas is added in chamber, We propose the novel study with optical emission spectroscopy in polysilicon etching. It is shown that added oxygen gases play an important role in enhencement of density in chlorine gases as a scavenger of silicon from SiCl$\_$x/. And a small amount of Si-O bonds are deposited and then the deposited thin film protect silicon dioxyde against reaction chlorine with silicon in SiO$_2$. Consequently, we can improve the selectivity of polysilicon the silicon dioxide, which is clearly explained in this model.

  • PDF

A Diagnostic Study of Pulsed Plasma Process for Reactive Deposition (반응성 증착용 펄스 플라즈마 공정의 진단)

  • Joo, Jung-Hoon
    • Journal of the Korean institute of surface engineering
    • /
    • v.45 no.4
    • /
    • pp.168-173
    • /
    • 2012
  • A real-time monitoring of an immersed antenna type inductively coupled plasma (ICP) was done with optical emission spectroscopy (OES) to check the reports that sputtered atom density is decreasing as the ICP power is increased. At 10 mTorr pressure of Ar, Mg was sputtered by a bipolar pulsed power supply into 2 MHz ICP which has an insulator covered 2.5 turn antenna. Emitted light was collected in two different positions: above the target and inside the ICP region. With 100 W of Mg sputtering power, the intensities of Mg I (285.06 nm), Mg II (279.48 nm), Ar I (420.1 nm) were increased constantly with ICP power from 100 W to 600 W. At 500 W, the intensity of $Mg^+$ exceeded that of Mg under PID controlled discharge voltage of 180 V. The ratio of Mg II/Mg I was increased from 0.45 to 2.71 approximately 6 times.

Semi-Supervised Learning for Fault Detection and Classification of Plasma Etch Equipment (준지도학습 기반 반도체 공정 이상 상태 감지 및 분류)

  • Lee, Yong Ho;Choi, Jeong Eun;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.19 no.4
    • /
    • pp.121-125
    • /
    • 2020
  • With miniaturization of semiconductor, the manufacturing process become more complex, and undetected small changes in the state of the equipment have unexpectedly changed the process results. Fault detection classification (FDC) system that conducts more active data analysis is feasible to achieve more precise manufacturing process control with advanced machine learning method. However, applying machine learning, especially in supervised learning criteria, requires an arduous data labeling process for the construction of machine learning data. In this paper, we propose a semi-supervised learning to minimize the data labeling work for the data preprocessing. We employed equipment status variable identification (SVID) data and optical emission spectroscopy data (OES) in silicon etch with SF6/O2/Ar gas mixture, and the result shows as high as 95.2% of labeling accuracy with the suggested semi-supervised learning algorithm.

Analysis of First Wafer Effect for Si Etch Rate with Plasma Information Based Virtual Metrology (플라즈마 정보인자 기반 가상계측을 통한 Si 식각률의 첫 장 효과 분석)

  • Ryu, Sangwon;Kwon, Ji-Won
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.4
    • /
    • pp.146-150
    • /
    • 2021
  • Plasma information based virtual metrology (PI-VM) that predicts wafer-to-wafer etch rate variation after wet cleaning of plasma facing parts was developed. As input parameters, plasma information (PI) variables such as electron temperature, fluorine density and hydrogen density were extracted from optical emission spectroscopy (OES) data for etch plasma. The PI-VM model was trained by stepwise variable selection method and multi-linear regression method. The expected etch rate by PI-VM showed high correlation coefficient with measured etch rate from SEM image analysis. The PI-VM model revealed that the root cause of etch rate variation after the wet cleaning was desorption of hydrogen from the cleaned parts as hydrogen combined with fluorine and decreased etchant density and etch rate.

Study on Methods of Enhancement and Measurement of Corrosion Resistance for Subsea Equipment made of Aluminum (알루미늄으로 제작된 심해 장비의 부식 저항 능력 향상 방법 및 측정 방법 조사)

  • Seo, Youngkyun;Jung, Jung-Yeul
    • Plant Journal
    • /
    • v.16 no.3
    • /
    • pp.47-52
    • /
    • 2020
  • This study investigated the methodologies to enhance the corrosion resistance and the ways to measure for subsea equipment made of aluminum. The methodologies for the anticorrosion were cathodic protection, conversion coating, anodizing and organic coating. The simply analyzed ways to measure the corrosion resistance were Scanning Electron Microscope (SEM), Electrochemical Impedance Spectroscopy (EIS), Glow discharge optical emission spectrum spectroscopy (GD-OES), Fourier Transform Infrared Spectroscopy (FT-IR), Transmission Electron Microscopy (TEM), X-ray Photoelectron Spectroscopy (XPS), Scanning Vibrating Electrode Technique (SVET), contact angle and interfacial tension. The most widely used tools for increasing the corrosion resistance were the anodizing and the organic coating. Many ways were evenly used to measure corrosion. The methods more frequently utilized were SEM for the surface investigation and the contact angle to evaluate the corrosion resistance.

EMISSION LINE SPECTROSCOPY WITH THE 1.8M OPTICAL TELESCOPE (1.8m 망원경을 이용한 방출선 천체 연구)

  • HYUNG SIEK;ALLER LAWRENCE H.;KIM KANG-MIN
    • Publications of The Korean Astronomical Society
    • /
    • v.15 no.spc1
    • /
    • pp.61-71
    • /
    • 2000
  • The emission line objects such as planetary nebulae, symbiotics, gaseous nebulae, HII regions, novae, supernovae, SNRs, nearby spiral galaxies, dIrr, dE, and nearby active galactic nuclei, would be goldmines for us to dig with the 1.8m bohyunsan optical (BOAO) telescope. We discussed the importance of strategically important diagnostic lines and atomic constant calculation for a study of Galactic and extragalactic emission objects. The scientific background on a spectrometer development history is briefly presented and spectroscopic research areas other than the emission objects are also summarized.

  • PDF

Study on the Etching Characteristics of Fine Ta patterns by Actinometry Method (Actinometry를 이용한 Ta 미세 패턴 식각 특성에 관한 연구)

  • 김상훈;안진호
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.7 no.4
    • /
    • pp.43-47
    • /
    • 2000
  • The etching characteristic of a tantalum thin film with pure chlorine plasma was studied using an electron cyclotron resonance etcher system. Optical emission actinometry (OEA) was used for the study of the etching mechanism of a tantalum thin film and optimum process condition was achieved by OEA study. Based on this mechanism, double step etching was performed and 0.15 $\mu\textrm{m}$ L & S was acquired successfully suppressing the microloading effect.

  • PDF