• Title/Summary/Keyword: OES sensor

Search Result 16, Processing Time 0.026 seconds

The diagnosis of Plasma Through RGB Data Using Rough Set Theory

  • Lim, Woo-Yup;Park, Soo-Kyong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.413-413
    • /
    • 2010
  • In semiconductor manufacturing field, all equipments have various sensors to diagnosis the situations of processes. For increasing the accuracy of diagnosis, hundreds of sensors are emplyed. As sensors provide millions of data, the process diagnosis from them are unrealistic. Besides, in some cases, the results from some data which have same conditions are different. We want to find some information, such as data and knowledge, from the data. Nowadays, fault detection and classification (FDC) has been concerned to increasing the yield. Certain faults and no-faults can be classified by various FDC tools. The uncertainty in semiconductor manufacturing, no-faulty in faulty and faulty in no-faulty, has been caused the productivity to decreased. From the uncertainty, the rough set theory is a viable approach for extraction of meaningful knowledge and making predictions. Reduction of data sets, finding hidden data patterns, and generation of decision rules contrasts other approaches such as regression analysis and neural networks. In this research, a RGB sensor was used for diagnosis plasma instead of optical emission spectroscopy (OES). RGB data has just three variables (red, green and blue), while OES data has thousands of variables. RGB data, however, is difficult to analyze by human's eyes. Same outputs in a variable show different outcomes. In other words, RGB data includes the uncertainty. In this research, by rough set theory, decision rules were generated. In decision rules, we could find the hidden data patterns from the uncertainty. RGB sensor can diagnosis the change of plasma condition as over 90% accuracy by the rough set theory. Although we only present a preliminary research result, in this paper, we will continuously develop uncertainty problem solving data mining algorithm for the application of semiconductor process diagnosis.

  • PDF

A Semiconductor Etching Process Monitoring System Development using OES Sensor (OES 센서를 이용한 반도체 식각 공정 모니터링 시스템 개발)

  • Kim, Sang-Chul
    • Journal of the Korea Society of Computer and Information
    • /
    • v.18 no.3
    • /
    • pp.107-118
    • /
    • 2013
  • In this paper, we developed the semiconductor monitoring system for the etching process. Around the world, expert companies are competing fiercely since the semiconductor industry is a leading value-added industry that produces the essential components of electronic products. As a result, many researches have been conducted in order to improve the quality, productivity, and characteristics of semiconductor products. Process monitoring techniques has an important role to give an equivalent quality and productivity to produce semiconductor. In fact, since the etching process to form a semiconductor circuit causes great damage to the semiconductors, it is very necessary to develop a system for monitoring the process. The proposed monitoring system is mainly focused on the dry etching process using plasma and it provides the detailed observation, analysis and feedback to managers. It has the functionality of setting scenarios to match the process control automatically. In addition, it maximizes the efficiency of process automation. The result can be immediately reflected to the system since it performs real-time monitoring. UI (User Interface) provides managers with diagnosis of the current state in the process. The monitoring system has diverse functionalities to control the process according to the scenario written in advance, to stop the process efficiently and finally to increase production efficiency.

A New Approach of Intensity Predictio in Copper Electroplating Monitoring Using Hybrid HSMM and ANN

  • Wang, Li;Hwan, Ahn-Jong;Lee, Ho-Jae;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.137-137
    • /
    • 2010
  • Copper electroplating is a very popular and important technology for depositing high-quality conductor interconnections, especially in through silicon via (TSV). As this advanced packaging technique developing, a mass of copper and chemical solution are used, so attention to these chemical materials into the utilization and costs can not be ignored. An economical and practical real-time chemical solution monitoring has not been achieved yet. Either Red-green-blue (RGB) or optical emission spectroscopy (OES) color sensor can successfully monitor the color condition of solution during the process. The reaction rate, uniformity and quality can map onto the color changing. Hidden Semi Markov model (HSMM) can establish mapping from the color change to upper indicators, and artificial neural network (ANN) can be integrated to comprehensively determine its targets, whether the solution inside the container can continue to use.

  • PDF

Abnormal Detection in 3D-NAND Dielectrics Deposition Equipment Using Photo Diagnostic Sensor

  • Kang, Dae Won;Baek, Jae Keun;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.2
    • /
    • pp.74-84
    • /
    • 2022
  • As the semiconductor industry develops, the difficulty of newly required process technology becomes difficult, and the importance of production yield and product reliability increases. As an effort to minimize yield loss in the manufacturing process, interests in the process defect process for facility diagnosis and defect identification are continuously increasing. This research observed the plasma condition changes in the multi oxide/nitride layer deposition (MOLD) process, which is one of the 3D-NAND manufacturing processes through optical emission spectroscopy (OES) and monitored the result of whether the change in plasma characteristics generated in repeated deposition of oxide film and nitride film could directly affect the film. Based on these results, it was confirmed that if a change over a certain period occurs, a change in the plasma characteristics was detected. The change may affect the quality of oxide film, such as the film thickness as well as the interfacial surface roughness when the oxide and nitride thin film deposited by plasma enhenced chemical vapor deposition (PECVD) method.

Removal of Anodic Aluminum Oxide Barrier Layer on Silicon Substrate by Using Cl2 BCl3 Neutral Beam Etching

  • Kim, Chan-Gyu;Yeon, Je-Gwan;Min, Gyeong-Seok;O, Jong-Sik;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.480-480
    • /
    • 2011
  • 양극산화(anodization)는 금속을 전기화학적으로 산화시켜 금속산화물로 만드는 기술로서 최근 다양한 크기의 나노 구조를 제조하는 기술로 각광받고 있으며, 이러한 기술에 의하여 얻어지는 anodic aluminum oxide(AAO)는 magnetic data storage, optoelectronic device, sensor에 적용될 수 있는 nano device 뿐만 아니라 nanostructure를 제조하기 위한 template 및 mask로써 최근 광범위 하게 연구되고 있다. 또한, AAO는 Al2O3의 단단한 구조를 가진 무기재료이므로 solid mask로써 다른 porous materials 보다 뛰어난 특성을 갖고 있다. 또한 electron-beam lithography 및 block co-polymer 에 의한 patterning 과 비교하여 매우 경제적이며, 재현성이 우수할 뿐만 아니라 대면적에서 나노 구조의 크기 및 형상제어가 비교적 쉽기 때문에 널리 사용되고 있다. 그러나, AAO 형성 시 생기게 되는 반구형 모양의 barrier layer는 물질(substance)과 기판과의 direct physical and electrical contact을 방해하기 때문에 해결해야 할 가장 큰 문제점 중 하나로 알려져 있다. 따라서 본 연구에서는 실리콘 기판위의 형성된 AAO의 barrier layer를 Cl/BCl3 gas mixture에서 Neutral Beam Etching (NBE)과 Ion Beam Etching (IBE) 로 각각 식각한 후 그 결과와 비교하였다. NBE와 IBE 모두 Cl2/BCl3 gas mixture에서 BCl3 gas의 첨가량이 60% 일 경우 etch rate이 가장 높게 나타났고, optical emission spectroscopy (OES)로 Cl2/BCl3 플라즈마 내의 Cl radical density와 X-ray photoelectron spectroscopy (XPS)로 AAO 표면 위를 관찰한 결과 휘발성 BOxCly의 형성이 AAO 식각에 크게 관여함을 확인 할 수 있었다. 또한, NBE와 IBE 실험한 다양한 Cl2/BCl3 gas mixture ratio 에서 AAO가 식각이 되지만, 이온빔의 경우 나노사이즈의 AAO pore의 charging에 의해 pore 아래쪽의 위치한 barrier layer를 어떤 식각조건에서도 제거하지 못하였다. 하지만, NBE에서는 BCl3-rich Cl2/BCl3 gas mixture인 식각조건에서 AAO pore에 휘발성 BOxCly를 형성하면서 barrier layer를 제거할 수 있었다.

  • PDF

A Study on Plasma Etching of Tungsten Thin Films using $SF_6$ and $SF_6-N_2$ gases ($SF_6$$SF_6-N_2$ 가스를 이용한 텅스텐 박막의 플라즈마 식각에 관한 연구)

  • Ko, Yong-Deuk;Jeong, Kwang-Jin;Choi, Song-Ho;Koo, Kyoung-Wan;Cho, Tong-Yul;Chun, Hui-Gon
    • Journal of Sensor Science and Technology
    • /
    • v.8 no.3
    • /
    • pp.291-297
    • /
    • 1999
  • The plasma etching of tungsten thin films has been studied with $SF_6$ gas in RIE system. The etch rate of ${\alpha}$-phase W film with $SF_6$ gas plasma has been showed to depend strongly on process parameters ($SF_6$, $SF_6-N_2$ gas). Effect of $N_2$ addition and etching selectivity between W film and photoresist have also been studied in detail. Etching profiles between W film and photoresist were investigated by SEM. The compounds on W surface after $SF_6-N_2$ gas plasma treatment were examined by XPS and the concentration of F ions was detected by OES during plasma on.

  • PDF