• Title/Summary/Keyword: Korean mask

Search Result 1,929, Processing Time 0.028 seconds

Fabrication of High Aspect Ratio 100nm-scale Nickel Stamper Using E-beam Lithography for the Injection molding of Nano Grating Patterns (전자빔과 무반사층이 없는 크롬 마스크를 이용한 나노그레이팅 사출성형용 고종횡비 100nm 급 니켈 스템퍼의 제작)

  • Seo, Young-Ho;Choi, Doo-Sun;Lee, Joon-Hyoung;Je, Tae-Jin;Whang, Kyung-Hyun
    • Proceedings of the KSME Conference
    • /
    • 2004.04a
    • /
    • pp.978-982
    • /
    • 2004
  • We present high aspect ratio 100nm-scale nickel stamper using e-beam lithography process and Cr/Qz mask for the injection molding process of nano grating patterns. Conventional photolithography blank mask (CrON/Cr/Qz) consists of quartz substrate, Cr layer of UV protection and CrON of anti-reflection layer. We have used Cr/Qz blank mask without anti-reflection layer of CrON which is non-conductive material and ebeam lithography process in order to simplify the nickel electroplating process. In nickel electroplating process, we have used Cr layer of UV protection as seed layer of nickel electroplating. Fabrication conditions of photolithography mask using e-beam lithography are optimized with respect to CrON/Cr/Qz blank mask. In this paper, we have optimized e-beam lithography process using Cr/Qz blank mask and fabricated nickel stamper using Cr seed layer. CrON/Cr/Qz blank mask and Cr/Qz blank mask require optimal e-beam dosage of $10.0{\mu}C/cm^2$ and $8.5{\mu}C/cm^2$, respectively. Finally, we have fabricated $116nm{\pm}6nm-width$ and $240nm{\pm}20nm-height$ nickel grating stamper for the injection molding pattern.

  • PDF

Analysis of Microphonic Phenomenon for Shadow Mask in Flat TV by FEM (유한요소법에 의한 평면 TV 새도우마스크의 마이크로포닉 현상 해석)

  • Kim, Jung;Park, Soog-Kil;Kang, Bum-Soo
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.19 no.11
    • /
    • pp.89-95
    • /
    • 2002
  • A shadow mask inside the Braun tube of a TV is sustained by springs attached to the glass panel, its vibration cause the picture image to discolor, which is called the microphonic phenomenon. It is found that it results from resonance when the natural frequency of the shadow mask coincides with that of built-in speaker sound. This paper describes experimental and analytical investigations by using FEM on the vibration problem of the shadow mask assembly. The simulation scheme may be efficiently used to develop a new design for a large-screen flat TV.

The Effects of the Electron Reflecting Layer Screen-printed with the Lead Tungsten Oxides on the Shadow Mask in CRT

  • Kim, Sang-Mun
    • Journal of the Korean Ceramic Society
    • /
    • v.40 no.2
    • /
    • pp.113-117
    • /
    • 2003
  • To reduce the doming of the shadow mask due to thermal expansion and to prevent the color discrepancy, the electron reflecting layer with lead tungsten oxides on the electron gun side of shadow mask was formed by screen printing method and doming property was evaluated in CRT. First, the lead tungsten oxides were prepared by calcining the mixture of lead oxide and tungsten oxide above 600$^{\circ}C$. Second, the paste which has the anti-doming composition including the lead tungsten oxides was coated by screen-printing method. As a result, the doming of the shadow mask was reduced about from 30 to 45%.

Improvement of Ion Beam Resolution in FIB Process by Selective Beam Blocking (선택적 빔 차단을 통한 집속이온빔 가공 정밀도 향상)

  • Han, Min-Hee;Han, Jin;Kim, Tae-Gon;Min, Byung-Kwon;Lee, Sang-Jo
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.27 no.8
    • /
    • pp.84-90
    • /
    • 2010
  • In focused ion beam (FIB) fabrication processes the ion beam intensity with Gaussian profile has a drawback for high resolution machining. In this paper, the fabrication method to modify the beam profile at substrate using silt mask is proposed to increase the machining resolution at high current. Slit mask is utilized to block the part of beam and transmit only high intensity portion. A nano manipulator is utilized to handle the silt mask. Geometrical analysis on fabricated profile through silt mask was conducted. By utilizing proposed method, improvement of machining resolution was achieved.

A Study for Reducing Tension Loosening in CRT Tension Mask (CRT 텐션 마스크의 장력 이완 저감을 위한 연구)

  • 정일섭
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.20 no.6
    • /
    • pp.214-221
    • /
    • 2003
  • Tension mask assembly is positioned right behind the glass-made front panels of CRT type display devices. The frame-supported thin metal sheet contains numerous slits, through which electron beams are focused to enhance definition. Pretension is imposed on the masks, especially for enlarged flat screens, in order to avoid vibration due to acoustic or mechanical impact. High temperature assembly process subsequent to pretensioning, however, degenerates the creep resistance of common mask materials, and if tensile stress is high enough, tension on the mask may be loosened substantially due to creep deformation. In this study, the assembly is modeled as a combined structure of beams and wire array, and a numerical simulation is attempted for pretensioning followed by high temperature process. According to a model study, small amount of creep strain is likely to be generated, but its adverse influence is not negligible. Some structural modification measures to reduce the creep-induced tension loosening are proposed and evaluated. Also, optimal configuration of frame structure is sought for, which maintains high tension of masks and minimizes the possible creep of frame simultaneously.

Precision assessment of micro abrasive jet machining result on glass by using thick SU-8 as a mask (SU-8 마스크를 이용한 유리의 입자분사 미세가공 정밀도 평가)

  • Saragih A.S.;Ko T.J.;Kim H.S.;Park Y.W.;Lee I.H.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2006.05a
    • /
    • pp.493-494
    • /
    • 2006
  • SU-8 can be implemented as a mask for micro Abrasive Jet Machining (micro-AJM) process [1]. In this paper, we will evaluate the quality of micro grooving result on glass substrate by micro-AJM process which using SU-8 as a mask. It was evaluated on width and edge profile of the micro grooving. The result was having distortion compare with the master film used to pattern the SU-8 mask. The value of distortion with other properties which came along with it, such as depth and surface roughness, can be optimized in order to fabricate micro-channel for micro-fluidic application.

  • PDF

FACE MASK THERAPY IN EARLY MIXED DENTION (초기 혼합치열기에서의 Face mask의 임상적 적용)

  • Lee, Chang-Joo;Kim, Jong-Soo;Kwon, Soon-Won
    • Journal of the korean academy of Pediatric Dentistry
    • /
    • v.28 no.4
    • /
    • pp.643-648
    • /
    • 2001
  • Class III malocclusion usually becomes manifested at a very early age, most typically evidenced clinically by the appearance of either an edge-to-edge incisor relationship or an anterior crossbite. Anterior crossbite, by it-self, retards growth of maxilla, and accelerates growth of mandible. So, treatment should be started as early as the patient cooperates, removing any factors or forces that inhibit growth and development in the same physiologic maxillary displacement direction. The facial mask is effective in most developing Class III patients, because the appliance system affects virtually all areas contributing to a Class III malocclusion. Thus, the facial mask can be applied to most developing Class If cases regardless of the specific etiology. In these cases, the results were followed. Anterior crossbite was corrected by anterior movement of maxilla and downward backward rotation of mandible and simultaneously, lower facial height was increased. So, it can be concluded that the facial mask is effective in treating growing patients with a deficient maxilla.

  • PDF

Effect of Fe(ClO4)3 Addition in the Aqueous Ferric Chloride Etchant on the Increase of Shadow Mask Etch Rate (Fe(ClO4)3 첨가제의 주입에 의한 염화제이철 수용액의 Shadow Mask 에칭속도 향상 효과)

  • Kim, Young Wook;Park, Mooryong;Lee, Hyung Min;Park, Gwang Ho;Park, Chinho
    • Korean Chemical Engineering Research
    • /
    • v.48 no.2
    • /
    • pp.157-163
    • /
    • 2010
  • A new etchant formulation was developed in this study to increase the shadow mask production rate, utilizing the $Fe(ClO_4)_3$ as an additive in the aqueous $FeCl_3$ solution. The shadow mask etch rate increased substantially with the increase of $Fe(ClO_4)_3$ concentration in the etchant. The etch rate difference between Ni and Invar steel was also reduced with the addition of $Fe{(ClO_4)_3}$ for most of the operating conditions, which was caused by the enhanced etch rate of both Ni and Fe by the new etchant. The increase in etch rate with the addition of $Fe(ClO_4)_3$ to aqueous ferric chloride solution was attributed to the superior electron transfer capability of $ClO^{4-}$ ion to that of $Cl^-$ ion.

Comparison of Ventilation Effects by Mask Type for Proper Health Care of Respiratory Emergency Patients (호흡응급환자의 적절한 헬스케어를 위한 마스크 유형별 환기효과 비교)

  • Kim, Tae-Hyun;Park, Si-Eun
    • Journal of Korea Entertainment Industry Association
    • /
    • v.14 no.7
    • /
    • pp.477-485
    • /
    • 2020
  • This study is a random allocation similar experimental study to compare and analyze the difference in BVM (Bag-Valve-Mask) ventilation volume according to the characteristics of the rescuer's hand and the type of mask using a standardized mannequin. To this end, the Basic Life Resuscitation Education Center of D University in gwangju. Recruiting 39 students who have completed the basic resuscitation course for emergency medical personnel and the Korean-style specialized cardiac rescue course, In addition to measuring the physical characteristics of the hand, the average amount of ventilation per minute using a bag-mask was measured and analyzed. As a result, the type of mask that was not most affected by the characteristics of the hand and provided adequate Minute Ventilation was the soft type (tube, silicone) mask. On the other hard (tube, silicone) masks were found to be unsuitable for general use as they were greatly affected by the characteristics of workers' hands. COVID-19 is currently increasing the risk of transmission to paramedics and patients. Considering this situation, the universal use of a semi-permanent hard-type mask, which is disadvantageous not only for preventing infection but also for proper ventilation, should be avoided. In addition to the ease of use, it should be actively utilized in the field by supplying a soft type mask that can provide stable ventilation even with 'predominance recognition' and proper ventilation.

Femtosecond Pulsed Laser Ablation of OLED Shadow Mask Invar Alloy (펨토초 레이저를 이용한 OLED 용 Shadow Mask Invar 합금의 어블레이션)

  • Chung, Il-Young;Kang, Kyung-Ho;Kim, Jae-Do;Sohn, Ik-Bu;Noh, Young-Chul;Lee, Jong-Min
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.24 no.12
    • /
    • pp.50-56
    • /
    • 2007
  • Femtosecond laser ablation of the Invar alloy and hole drilling for a shadow mask are studied. We used a regenerative amplified Ti-sapphire laser with a 1kHz repetition rate, 184fs pulse duration and 785nm wavelength. Femtosecond laser pulse was irradiated on the Invar alloy with air blowing at the condition of various laser peak power. An ablation characteristic of the Invar alloy was appeared non-linear at $125J/cm^2$ of energy fluence. For the application to a shadow mask, the hole drilling of the Invar alloy with the cross section of a trapezoidal shape was investigated. The ablated micro-holes were characterized using an atomic force microscopy(AFM). The optimal condition of hole pattern f3r a shadow mask was $4\;{\mu}m$ z-axis feed rate, 0.2mm/s circular velocity, $26.4{\mu}J$ laser peak power. With the optimal processing condition, the fine circular hole shape without burr and thermal damage was achieved. Using the femtoseocond laser system, it demonstrates excellent tool for the Invar alloy micro-hole drilling without heat effects and poor edge.