• 제목/요약/키워드: Instruction-level parallelism

검색결과 49건 처리시간 0.03초

와이드 이슈 프로세서를 위한 스트라이드 값 예측기의 모험적 갱신 (Sepculative Updates of a Stride Value Predictor in Wide-Issue Processors)

  • 전병찬;이상정
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제28권11호
    • /
    • pp.601-612
    • /
    • 2001
  • 슈퍼스칼라 프로세서에서 값 예측(value prediction)은 한 명령의 결과를 미리 예측하여 명령들 간의 데이터 종속관계를 극복하고 실행함으로써 명령어 수준 병렬성(Instruction Level Parallesim, ILP)을 이용하는 기법이다. 값 예측기(value predictor)는 명령어 페치 시에 예측 테이블을 참조(lookup)하여 값을 예측하고, 명령의 실행 후 판명된 예측 결과에 따라 테이블을 갱신(update)하여 이 후의 참조를 대비한다. 그러나, 최근의 값 예측기는 프로세서의 명령 페치 및 이슈율이 커짐에 따라 예측 테이블이 갱신되기 전에 다시 같은 명령이 페치되어 갱신되지 못한 낡은 값(stale value)으로 예측되는 경우가 빈번히 발생하여 예측기의 성능이 저하되는 경향이 있다. 본 논문에서는 이러한 성능저하를 줄이기 위해 명령의 결과가 나올 때가지 기다리지 않고 테이블 값을 모험적으로 갱신(speculative update)하는 스트라이트 값 예측기(stride value predictor)를 제안한다. 제안된 방식의 타당성을 검증하기 위해 SimpleScalar 시뮬레이터 상에 제안된 예측기를 구현하여 SPECint95 벤치마크를 시뮬레이션하고 제안된 모험적 갱신의 스트라이드 예측기가 기존의 스트라이드 예측기 보다 성능이 향상됨을 보인다.

  • PDF

분기 동시 수행을 이용한 단일 칩 멀티프로세서의 성능 개선 (Performance Improvement of Single Chip Multiprocessor using Concurrent Branch Execution)

  • 이승렬;김준식;최재혁;최상방
    • 대한전자공학회논문지SD
    • /
    • 제44권2호
    • /
    • pp.61-71
    • /
    • 2007
  • 프로세서 성능향상에 일반적으로 이용되어 오던 명령어 수준의 병렬성은 이제 그 한계를 드러내고 있다. 명령어 수준의 병렬성을 이용하는데 장애가 되는 요인 중에 하나는 분기문에 의한 제어 흐름의 변화이다. 단일 칩 멀티프로세서는 쓰레드 수준의 병렬성을 이용하는 프로세서이다. 그러나 다중 쓰레드를 고려하지 않고 작성된 프로그램을 수행하는 경우에는 단일 칩 멀티프로세서의 성능을 최대한 사용할 수 없는 단점이 있다. 이와 같은 두 가지 성능 저하 요인을 극복하기 위해 본 논문에서는 다중 경로 수행 기법을 단일 칩 멀티프로세서에 적용한 분기 동시 수행 기법을 제안한다. 제안된 방법에서는 유휴 중인 프로세서를 이용하여 조건 분기의 두 흐름을 모두 수행하게 한다. 이를 통하여 분기문에 의한 제어 흐름이 끊기는 것을 막고 유휴 시간을 줄여서 프로세서의 효율을 높일 수 있다. 시뮬레이션을 통하여 본 논문에서 제시한 분기 동시 수행의 효과를 분석한 결과 분기 동시 수행으로 약 20%의 유휴 시간이 감소하였고, 분기 예측 성공률은 최대 10% 향상 되었다. 전체적으로 일반적인 단일 칩 멀티프로세서에 비해 최대 39%의 성능 향상을 이루었고, 슈퍼스칼라 프로세서에 비해 최대 27%의 성능 향상을 이루었다.

슈퍼스칼라 프로세서에서 명령어 이슈 길이를 고려한 값 예측기의 성능분석 (Performance Analysis of Value Predictor considering instruction issue width in Superscalar processor)

  • 전병찬;김혁진
    • 한국컴퓨터산업학회논문지
    • /
    • 제7권3호
    • /
    • pp.171-178
    • /
    • 2006
  • 슈퍼스칼라 프로세서에서 명령어 이슈 길이 값 예측방식은 명령의 결과 값을 미리 예측하고, 그 이후에 데이터 종속관계가 이는 명령들에게 값을 조기에 공급하므로써 이들 명령들을 모험적으로 실행하여 성능을 향상시키는 방식이다. ILP 프로세서는 명령어 수준 병렬성의 성능향상을 위하여 값을 미리 예측하여 병렬로 이슈하고 수행한다[4]. 본 논문에서는 이를 수행하기 위한 값 예측기의 명령어 이슈 길이(4,8,16)의 성능분석을 위한 예측률, 예측정확도, 성능향상 등을 측정하여 평가한다. 실험결과 8이슈의 성능향상이 높음을 보였다.

  • PDF

슈퍼스칼라 프로세서에서의 값 예측의 전력 소모 측정 및 분석 (An Analysis of Power Dissipation of Value Prediction in Superscalar Processors)

  • 이명근;이상정
    • 한국정보과학회:학술대회논문집
    • /
    • 한국정보과학회 2002년도 가을 학술발표논문집 Vol.29 No.2 (1)
    • /
    • pp.688-690
    • /
    • 2002
  • 고성능 슈퍼스칼라 프로세서에서는 명령어 수준 병렬성(Instruction Level Parallelism, ILP)의 장애인 명령어간의 종속 관계 중 데이터 종속관계를 극복하기 위해 값 예측기를 이용하여 모험적으로 명령어들을 실행한다. 값 예측 시에 필요한 테이블 참조와 값 예측 실패 시 실행되는 잘못된 명령어의 실행은 프로세서의 부가적인 전력 소모를 요구한다. 본 논문에서는 값 예측기와 Cai-Lim의 전력모델을 슈퍼스칼라 프로세서 사이클 수준 시뮬레이터인 SimpleScalar 3.0 툴셋에 삽입하여 전력 소모량을 측정하고 분석한다.

  • PDF

Study of an In-order SMT Architecture and Grouping Schemes

  • Moon, Byung-In;Kim, Moon-Gyung;Hong, In-Pyo;Kim, Ki-Chang;Lee, Yong-Surk
    • International Journal of Control, Automation, and Systems
    • /
    • 제1권3호
    • /
    • pp.339-350
    • /
    • 2003
  • In this paper, we propose a simultaneous multithreading (SMT) architecture that improves instruction throughput by exploiting instruction level parallelism (ILP) and thread level parallelism (TLP). The proposed architecture issues and completes instructions belonging to the same thread in exact program order. The issue and completion policy greatly reduces the design complexity and hardware cost of our architecture, compared with others that employ out-of-order issue and completion. On the other hand, when the instructions belong to different threads, the issue and completion orders for those instructions may not necessarily be identical to the fetch order. The processor issues instructions simultaneously from multiple threads to functional units by exploiting ILP and TLP, and by dynamic resource sharing. That parallel execution notably improves performance and resource utilization with minimal additional hardware cost over the conventional superscalar processors. This paper proposes an SMT architecture with grouping as well as one without grouping. Without grouping, all threads dynamically and flexibly share most resources. On the other hand, in the SMT architecture with grouping, in which resources and threads are divided into several groups for design simplification, resources are shared only among threads belonging to the same group as those resources. Simulation results show that our processors with four and eight threads improve performance by three or more times over the conventional superscalar processor with comparable execution resources and policies, and that reasonable grouping reduces the design complexity of SMT processors with little negative effect on performance.

프로그램 상의 제어 독립성을 이용한 분기 예상 실패 복구 메커니즘 (Branch Misprediction Recovery Mechanism That Exploits Control Independence on Program)

  • 윤성룡;이원모;조영일
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제29권7호
    • /
    • pp.401-410
    • /
    • 2002
  • 제어 독립성은 슈퍼스칼라 프로세서에서 명령어 수준 병렬성을 향상시키기 위한 중요한 요소로 작용하고 있다. 분기 예측기에서 예상이 잘못된 경우에는 예상한 분기 방향의 명령어들을 무효화시키고 올바른 분기 방향의 명령어들을 다시 반입하여 수행해야 한다. 본 논문에서는 컴파일 시 프로파일링을 통한 정적인 방법과 프로그램상의 제어 흐름을 통해 동적으로 제어 독립적인 명령어를 탐지해서 분기 명령어의 잘못된 예상으로 인해 무효화되는 명령어를 효과적으로 감소시켜 프로세서의 성능을 향상시키는 메커니즘을 제안한다. SPECint95 벤치마크 프로그램에 대해 기존의 방법과 본 논문에서 제안한 방법 사이의 사이클 당 수행된 명령어 수를 분석한 결과, 4-이슈 프로세서에서 2%~7%, 8-이슈 프로세서에서 4%~15%, 16-이슈 프로세서에서 18%~28%의 성능 향상을 보이고 있다.

제어 독립성과 분기예측 실패 복구 메커니즘 (A Branch Misprediction Recovery Mechanism by Control Independence)

  • 고광현;조영일
    • 현장농수산연구지
    • /
    • 제14권1호
    • /
    • pp.3-22
    • /
    • 2012
  • 제어독립성(Control Independence)은 슈퍼스칼라 프로세서에서 명령어수준 병렬성(Instruction-level Parallelism)을 향상시키기 위한 중요한 요소로 작용하고 있다. 분기예측기법(Branch Prediction Mechanism)에서 잘못 예측될 경우에는 예측된 분기 방향의 명령어들을 무효화시키고 올바른 분기 방향의 명령어들을 다시 반입하여 수행해야 한다. 본 논문에서는 컴파일 시 프로파일링을 통한 정적인 방법과 프로그램상의 제어흐름을 통해 동적으로 제어 독립적인 명령어를 탐지하여 분기명령어의 잘못된 예측으로 발생되어 무효화되는 명령어를 효과적으로 감소시킬 수 있도록 하여 프로세서의 성능을 향상시키는 메커니즘을 제안한다. SPECint 벤치마크 프로그램에 대해 기존의 방법과 본 논문에서 제안한 방법 사이의 사이클 당 수행된 명령어 수를 분석한 결과, 4-이슈 프로세서에서 2%~7%, 8-이슈 프로세서에서 4%~15%, 16-이슈 프로세서에서 18%~28% 정도 성능이 향상되었다.

TLB 태그 공유 구조의 분기 타겟 버퍼 (A Branch Target Buffer Using Shared Tag Memory with TLB)

  • 이용환
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국해양정보통신학회 2005년도 추계종합학술대회
    • /
    • pp.899-902
    • /
    • 2005
  • 마이크로프로세서의 성능 저하를 일으키는 주된 원인은 분기에 의한 파이프라인의 정지이다. 분기타겟 버퍼는 분기를 예측하여 다음 실행 명령어의 주소를 제공한다. 이로써 마이크로프로세서의 자연스런 명령어의 실행 흐름은 끊어지지 않게 되고 높은 성능 향상을 기대할 수 있다. 본 논문에서는 가상주소를 실제주소로 바꾸어 주는 TLB와 분기 타겟 버퍼가 각각 가지고 있는 태그 메모리를 공유하는 구조를 제안한다. 이러한 공유 태그 구조의 이점은 2개의 태그 메모리를 하나로 공유함으로써 칩 면적의 감소를 꾀하고 분기 예측 속도를 향상시킬 수 있다는 점이다. 또한, 이러한 구조는 주소로 사용되는 비트 수가 커지거나 여러 개의 명령어를 동시에 실행할 수 있는 구조에서 이점이 더욱 커지기 때문에 향후 개발되는 마이크로프로세서에서 더욱 유용하게 사용될 수 있을 것이다.

  • PDF

EPIC 아키텍쳐를 위한 적극적 레지스터 할당 알고리듬 (An Aggressive Register Allocation Algorithm for EPIC Architectures)

  • 최준기;이상정
    • 한국정보처리학회논문지
    • /
    • 제6권2호
    • /
    • pp.497-511
    • /
    • 1999
  • 최근 많은 명령어 수준 병렬 처리 기술들이 개발되면서 ILP 프로세서 성능이 급격히 증가하고 있다. 특히, 새로운 기술로 주목 받고 있는 EPIC(Explicitly Parallel Instruction Computing) 아키텍쳐는 조건실행 (Predicated Execution)과 투기적실행(Speculative execution)을 하드웨어와 접목하여 성능 향상을 시도하고 있다. 본 논문에서는 EPIC 아키텍쳐의 특성을 최대로 활용하여 코드 스케줄 가능성을 높이는 새로운 레지스터 할당 알고리듬을 제안한다. 그리고, 제안된 레지스터 할당 알고리듬은 조건실행의 적용으로 인하여 더욱 효율을 높일 수 있음을 실험을 통하여 입증한다. 실험 결과 기존의 레지스터 할당 방법에 비하여 평균 19%의 성능 향상을 보임으로써 제안된 레지스터 할당 방법이 효과적임을 검증한다.

  • PDF

슈퍼스칼라 프로세서에서 정적 및 동적 분류를 사용한 혼합형 결과 값 예측기 (A Hybrid Value Predictor using Static and Dynamic Classification in Superscalar Processors)

  • 김주익;박홍준;조영일
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제30권10호
    • /
    • pp.569-578
    • /
    • 2003
  • 데이타 종속성은 명령어 수준 병렬성을 향상시키는데 중요한 장애요소가 되고 있으며, 최근 여러 논문에서 데이타 종속을 제거하기 위하여 결과 값을 예상하는 방법이 연구되고 있다. 혼합형 결과 값 예측기는 여러 예측기의 장점을 이용하여 높은 예상 정확도를 얻을 수 있지만, 동일한 명령어가 여러 개의 예측기 테이블에 중복 엔트리를 갖게되어 높은 하드웨어의 비용을 필요로 한다는 단점이 있다. 본 논문에서는 정적 및 동적 분류 정보를 이용하여 높은 성능을 얻을 수 있는 새로운 혼합형 결과 값 예측기를 제안한다. 제안된 예측기는 반입 단계 동안 정적 분류 정보를 사용하여 적절한 예측기에 할당함으로써 테이블 크기를 효과적으로 감소시켰고 예상정확도를 향상시켰다. 또한 제안된 예측기는 동적 분류를 사용하여“Unknown”유형의 명령어에 가장 적절한 예측방법을 선택하도록 하여 예상 정확도를 더욱 향상시켰다. SimpleScaiar/PISA 툴셋과 SPECint95 벤치마크 프로그램에서 시뮬레이션 한 결과, 정적 분류 정보를 사용하였을 경우 평균 예상 정확도가 85.1%, 정적 및 동적 분류 정보를 모두 사용하였을 경우 87.6%의 평균 예상 정확도를 얻을 수 있었다.