• Title/Summary/Keyword: High selectivity

Search Result 1,033, Processing Time 0.026 seconds

Inductively coupled plasma etching of SnO2 as a new absorber material for EUVL binary mask

  • Lee, Su-Jin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.124-124
    • /
    • 2010
  • Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Effect of pattern spacing and slurry types on the surface characteristics in 571-CMP process (STI-CMP공정에서 표면특성에 미치는 패턴구조 및 슬러리 종류의 효과)

  • Lee, Hoon;Lim, Dae-Soon;Lee, Sang-Ick
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.05a
    • /
    • pp.272-278
    • /
    • 2002
  • Recently, STI(Shallow Trench Isolation) process has attracted attention for high density of semiconductor device as a essential isolation technology. In this paper, the effect of pattern density, trench width and selectivity of slurry on dishing in STI CMP process was investigated by using specially designed isolation pattern. As trench width increased, the dishing tends to increase. At $20{\mu}m$ pattern size, the dishing was decreased with increasing pattern density Low selectivity slurry shows less dishing at over $160{\mu}m$ trench width, whereas high selectivity slurry shows less dishing at below $160{\mu}m$ trench width.

  • PDF

A Study on the Selectivity of Gas Sensors by Sensing Pattern Recognition (감지 패턴 인식에 의한 가스센서의 선택성 연구)

  • Lee, Sung-Pil
    • Journal of Sensor Science and Technology
    • /
    • v.20 no.6
    • /
    • pp.428-433
    • /
    • 2011
  • We report on the building of a micro sensor array based on typical semiconductor fabrication processes aimed at monitoring selectively a specific gas in ambient of other gases. Chemical sensors can be applied for an electronic nose and/or robots using this technique. Microsensor array was fabricated on the same chip using 0.6${\mu}m$ CMOS technology, and unique gas sensing patterns were obtained by principal component analysis from the array. $SnO_2$/Pt sensor for CO gas showed a high selectivity to buthane gas and humidity. $SnO_2$ sensor for hydrogen gas, however, showed a low selectivity to CO and buthane gas. We can obtain more distinguishable patterns that provide the small sensing deviation(the high seletivity) toward a given analyte in the response space than in the chemical space through the specific parameterization of raw data for chemical image formation.

Frequency effect of TEOS oxide layer in dual-frequency capacitively coupled CH2F2/C4F8/O2/Ar plasma

  • Lee, J.H.;Kwon, B.S.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.284-284
    • /
    • 2011
  • Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.

  • PDF

Reproducible Chemical Mechanical Polishing Characteristics of Shallow Trench Isolation Structure using High Selectivity Slurry

  • Jeong, So-Young;Seo, Yong-Jin;Kim, Sang-Yong
    • Transactions on Electrical and Electronic Materials
    • /
    • v.3 no.4
    • /
    • pp.5-9
    • /
    • 2002
  • Chemical mechanical polishing (CMP) has become the preferred planarization method for multilevel interconnect technology due to its ability to achieve a high degree of feature level planarity. Especially, to achieve the higher density and greater performance, shallow trench isolation (STI)-CMP process has been attracted attention for multilevel interconnection as an essential isolation technology. Also, it was possible to apply the direct STI-CMP process without reverse moat etch step using high selectivity slurry (HSS). In this work, we determined the process margin with optimized process conditions to apply HSS STI-CMP process. Then, we evaluated the reliability and reproducibility of STI-CMP process through the optimal process conditions. The wafer-to-wafer thickness variation and day-by-day reproducibility of STI-CMP process after repeatable tests were investigated. Our experimental results show, quite acceptable and reproducible CMP results with a wafer-to-wafer thickness variation within 400$\AA$.

Isotope Selectivity in the CO$_2$Laser Induced Decomposition of Trichloroethylene-H and Trichloroethylene-D

  • Koo Sang Man;Chun Byung Soo;Choo Kwang Yul
    • Bulletin of the Korean Chemical Society
    • /
    • v.10 no.1
    • /
    • pp.96-101
    • /
    • 1989
  • The infrared multiphoton decomposition of trichloroethylene-H(TCE-H) and trichloroehtylene-D(TCE-D) was studied by using the high power $CO_2$ laser. The pressure dependence of TCE-H decomposition showed that the HCl elimination channel to form ClC ≡ CCl was the major step at high pressures, while the HC ≡ CCl formation step became important at low pressures. $Cl_2C$ = CHCl ${\rightarrow}$ (high pressure) ClC ${\equiv}$ CCl + HCl ${\rightarrow}$ (low pressure) HC ${\equiv}$ CCl + 2Cl${\cdot}$($Cl_2$) The IRMPD of TCE-H and TCE-D mixtures with 10P(20) laser line showed that optimum conditions of large isotope selectivity were the low system pressures and high laser powers. The experimentally observed dependence of the branching ratios on the pressure and laser fluence, and the isotope selectivity coefficients were quantitatively explained by using the modified energy grained master equations (EGME) model.

Structure-Activity Relationships of Peptide Antibiotics with Improved Bacterial Cell Selectivity of Pseudin

  • Lee, Yeongjoon;Jeon, Dasom;Kim, Jin-Kyoung;Kim, Yangmee
    • Journal of the Korean Magnetic Resonance Society
    • /
    • v.21 no.3
    • /
    • pp.78-84
    • /
    • 2017
  • Pseudin is a naturally occurring 24 amino-acid-residue antimicrobial peptide derived from the skin of paradoxical frog Pseud's paradoxa. It shows potency against the bacteria and antibiotic-resistant bacteria strain, but has high cytotoxicity against mammalian cell. In our previous study, substitution of $Pro^{11}$ for Gly (Ps-P) increased bacterial cell selectivity but decreased the antibacterial activity of pseudin. In this study, we designed pseudin analogue, Ps-4K-P with increased cationicity up to +7 in Ps-P by substituting Glu14, Gln10, Gln24, and Leu18 with Lys. Ps-4K-P showed improved potent antibacterial activity with high bacterial cell selectivity. We determined the tertiary structure of Ps-4K-P in the presence of DPC micelles by NMR spectroscopy and it has a hinge structure at $Pro^{11}$ followed by three turn helices from $Pro^{11}$ to $Val^{23}$ at the C-terminus. Amphipathicity with increased cationicity as well as helix-hinge-helix structural motif provided by introduction of a Pro at position $Gly^{11}$ are the crucial factors which confer antibacterial activity with bacterial cell selectivity to Ps-4K-P.

High Selectivity Coupled Line Impedance Transformer with Second Harmonic Suppression

  • Kim, Phirun;Park, Junsik;Jeong, Junhyung;Jeong, Seungho;Chaudhary, Girdhari;Jeong, Yongchae
    • Journal of electromagnetic engineering and science
    • /
    • v.16 no.1
    • /
    • pp.13-18
    • /
    • 2016
  • This paper presents a design of an impedance transformer (IT) with high frequency selectivity characteristics. The frequency selectivity can be controlled by even- and odd-mode impedance of a shunt coupled transmission line (TL). For experimental validation, a 50- to $20-{\Omega}$ IT was implemented at a center frequency ($f_0$) of 2.6 GHz for the long-term evolution signal. The measured results were in good agreement with the simulations, showing a return loss higher than 19 dB over a passband bandwidth of 0.63 GHz (2.28-2.91 GHz) and good sharp frequency selectivity characteristic near to the passband. The series coupled TL provides a transmission zero at 5.75 GHz, whereas the shunt coupled TL provides three transmission zeros located at 2 GHz, 3.1 GHz, and 7.14 GHz.

Nitrate reduction by iron supported bimetallic catalyst in low and high nitrogen regimes

  • Hamid, Shanawar;Lee, Woojin
    • Advances in environmental research
    • /
    • v.4 no.4
    • /
    • pp.263-271
    • /
    • 2015
  • In this study, the effect of initial nitrate loading on nitrate removal and byproduct selectivity was evaluated in a continuous system. Nitrate removal decreased from 100% to 25% with the increase in nitrate loading from 10 to $300mg/L\;NO_3-N$. Ammonium selectivity decreased and nitrite selectivity increased, while nitrogen selectivity showed a peak shape in the same range of nitrate loading. The nitrate removal was enhanced at low catalyst to nitrate ratios and 100% nitrate removal was achieved at catalyst to nitrate ratio of ${\geq}33mg\;catalyst/mg\;NO_3-N$. Maximum nitrogen selectivity (47%) was observed at $66mg\;catalyst/mg\;NO_3-N$, showing that continuous Cu-Pd-NZVI system has a maximum removal capacity of 37 mg $NO_3{^-}-N/g_{catalyst}/h$. The results from this study emphasize that nitrate reduction in a bimetallic catalytic system could be sensitive to changes in optimized regimes.