• Title/Summary/Keyword: Gas nitride

Search Result 312, Processing Time 0.026 seconds

DC 반응성 마그네트론 스퍼터링으로 증착한 TaN 박막의 특성 및 신뢰성

  • Jang, Chan-Ik;Lee, Dong-Won;Jo, Won-Jong;Kim, Sang-Dan;Kim, Yong-Nam
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.310-310
    • /
    • 2012
  • 최근 전자산업의 발달에 따른 전자제품의 소형화 및 고기능화 요구에 대응하기 위하여 저항(resistor), 커패시터(capacitor), IC (integrated circuit) 등의 수동소자를 개별 칩(discrete chip) 형태로 형성하여 기판의 표면에 실장하는 기술이 일반화되고 있다. 그러나, 수동 소자의 내장 기술은 기판의 패턴 밀도의 급격한 향상과 더불어 수동소자의 내장 공간도 협소해지는 문제점이 있다. 상기의 문제점을 해결하기 위해 개별 칩 형태의 내장형 저항체를 박막 형태의 내장 저항체를 구현하는 기술의 개발이 최근 주목을 받고 있다. 박막 저항체는 기존의 권선저항 및 후막저항과 비교하여 정밀한 온도저항계수를 가지며 이동통신에 적용시 고주파 영역(GHz)에서의 안정성과 주파수 특성이 좋다는 장점들을 가지고 있다. 박막 저항 물질로는 높은 경도와 우수한 열적 안정성을 가지고 있는 TaN (tantalum nitride)이 주로 사용되고 있다. 일반적으로, TaN 박막은 스퍼터링을 사용하며 제조되며 TaN 박막의 성질은 탄탈륨과 질소의 화학정량비, 박막의 결함 정도, 또는 공정압력 및 증착 온도, 플라즈마 파워 등과 같은 공정조건 등의 변화에 민감하게 변화하므로, TaN 박막의 다양한 연구가 더 필요한 실정이다. 본 연구에서는 반응성 마크네트론 스퍼터링을 사용하여 TaN 박막을 Si 기판 위에 증착하였고 TaN 박막의 원하는 특성을 제어할 수 있도록 질소 분압과 total gas volume을 조절하여 공정을 최적화하는 연구를 진행하였다. 또한 tensile pull-off 방법을 이용하여 TaN 박막의 부착강도를 평가하였고, 온도 사이클 및 고온고습 환경에 노출된 TaN 박막들의 열화 특성들에 대하여 연구하였다.

  • PDF

Heat Treatment of Carbonized Photoresist Mask with Ammonia for Epitaxial Lateral Overgrowth of a-plane GaN on R-plane Sapphire

  • Kim, Dae-sik;Kwon, Jun-hyuck;Jhin, Junggeun;Byun, Dongjin
    • Korean Journal of Materials Research
    • /
    • v.28 no.4
    • /
    • pp.208-213
    • /
    • 2018
  • Epitaxial ($11{\bar{2}}0$) a-plane GaN films were grown on a ($1{\bar{1}}02$) R-plane sapphire substrate with photoresist (PR) masks using metal organic chemical vapor deposition (MOCVD). The PR mask with striped patterns was prepared using an ex-situ lithography process, whereas carbonization and heat treatment of the PR mask were carried out using an in-situ MOCVD. The heat treatment of the PR mask was continuously conducted in ambient $H_2/NH_3$ mixture gas at $1140^{\circ}C$ after carbonization by the pyrolysis in ambient $H_2$ at $1100^{\circ}C$. As the time of the heat treatment progressed, the striped patterns of the carbonized PR mask shrank. The heat treatment of the carbonized PR mask facilitated epitaxial lateral overgrowth (ELO) of a-plane GaN films without carbon contamination on the R-plane sapphire substrate. Thhe surface morphology of a-plane GaN films was investigated by scanning electron microscopy and atomic force microscopy. The structural characteristics of a-plane GaN films on an R-plane sapphire substrate were evaluated by ${\omega}-2{\theta}$ high-resolution X-ray diffraction. The a-plane GaN films were characterized by X-ray photoelectron spectroscopy (XPS) to determine carbon contamination from carbonized PR masks in the GaN film bulk. After $Ar^+$ ion etching, XPS spectra indicated that carbon contamination exists only in the surface region. Finally, the heat treatment of carbonized PR masks was used to grow high-quality a-plane GaN films without carbon contamination. This approach showed the promising potential of the ELO process by using a PR mask.

Microstructure, Mechanical and Wear Properties of Hot-pressed $Si_3N_4-TiB_2$ Composite

  • Kim, Hyun-Jin;Lee, Soo-Whon;Tadachika Nakayama;Koichi Niihara
    • The Korean Journal of Ceramics
    • /
    • v.5 no.4
    • /
    • pp.324-330
    • /
    • 1999
  • $Si_3N_4$-$TiB_2$ with 2 wt% $Al_2O_3$ and 4 wt% $Y_2O_3$ additives was hot pressed in a flowing $N_2$ environment with varying $TiB_2$ content from 10 to 50 vol%. Variations of mechanical (hardness, fracture toughness, and flexual strength), and tribological properties as a function of $TiB_2$ content were investigated. As the content of $TiB_2$ increased, relative density decreased due to the chemical reaction of $TiB_2$in $N_2$ environment. The reduction of density causes mechanical properties to be degraded with an increase of $TiB_2$ in $Si_3N_4$. Tribological properties were dependent of microstructure as well as mechanical properties, however, they were degraded strongly by the chemical reaction of $Si_3N_4$-$TiB_2$ during hot pressing in $N_2$ environment. SEM and TEM observations, and X-ray diffraction analysis that the chemical reaction products at the interface are TiCN, Si, and $SiO_2$. Also, the comparison of XRD patterns of the $Si_3N_4$-40 vol% $TiB_2$ composites hot pressed at $1,750^{\circ}C$ for 1 hour between in $N_2$ and in Ar gas was made. The XRD peaks of Si and $SiO_2$ were not found in Ar, but still a weak peak of TiCN was presented.

  • PDF

Structure and Magnetic Properties of Fe-N Films Deposited by Dc Magnetron Sputtering (DC Magnetron Sputtering 방법으로 증착한 Fe-N 박막의 구조와 자기적 성질)

  • 이종화;이원종
    • Journal of the Korean Magnetics Society
    • /
    • v.3 no.2
    • /
    • pp.87-93
    • /
    • 1993
  • Iron nitride (Fe-N) magnetic thin films were deposited using a DC magnetron sputtering system. Microstructures and magnetic properties were examined as a function of deposition power and nitrogen gas input ratio. The nitrogen content in the film was found to be the major factor determining the microstructure and the magnetic properties. The films deposited at low nitrogen input ratios have an $\alpha$-Fe structure of which the lattice is expanded due to the nitrogen atoms incorporated at the interstitial sites. As the nitrogen content in the film increases, the degree of lat-tice expansion increases and the value of saturation magnetization decreases linearly. The films with a high degree of lattice expansion give very low values of coercivity, which is attributed to the disturbance of colunmar growth and the decrease of surface roughness. Further increase in the nitrogen input ratio causes the phase transfonnation from $\alpha$-Fe to $Fe_{2-3}N$, resulting in the marked reduction in the saturation magnetization. The phase transformation occurs when, regardless of deposition conditions, the nitrogen content reaches at 15 at.% and the lattice is expanded by 5%.

  • PDF

Fabrication and Characteristics of Pd/Pt Gate MISFET Sensor for Dissolved Hydrogen in Oil (유중 용존수소 감지를 위한 Pd/Pt Gate MISFET 센서의 제조와 그 특성)

  • Baek, Tae-Sung;Lee, Jae-Gon;Choin, Sie-Young
    • Journal of Sensor Science and Technology
    • /
    • v.5 no.4
    • /
    • pp.41-46
    • /
    • 1996
  • The Pd/Pt gate MISFET type hydrogen sensors, for detecting dissolved hydrogen gas in the transformer oil, were fabricated and their characteristics were investigated. These sensors including diffused resister heater and temperature monitoring diode were fabricated on the same chip by a conventional silicon process technique. The differential pair plays a role in minimizing the intrinsic voltage drift of the MISFET. To avoid the drift of the sensors induced by the hydrogen, the gate insulators of both FETs were constructed with double layers of silicon dioxide and silicon nitride. In order to eliminate the blister formation on the surface of the hydrogen sensing gate metal, Pt and Pd double metal layers were deposited on the gate insulator. The hydrogen response of the Pd/Pt gate MISFET suggests that the proposed sensor can detect the dissolved hydrogen in transformer oil with 40mV/10ppm of sensitivity and 0.14mV/day of stability.

  • PDF

Effects of $CH_{2}F_{2}$ and $H_2$ flow rates on process window for infinite etch selectivity of silicon nitride to PVD a-C in dual-frequency capacitively coupled plasmas

  • Kim, Jin-Seong;Gwon, Bong-Su;Park, Yeong-Rok;An, Jeong-Ho;Mun, Hak-Gi;Jeong, Chang-Ryong;Heo, Uk;Park, Ji-Su;Lee, Nae-Eung
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.250-251
    • /
    • 2009
  • For the fabrication of a multilevel resist (MLR) based on a very thin amorphous carbon (a-C) layer an $Si_{3}N_{4}$ hard-mask layer, the selective etching of the $Si_{3}N_{4}$ layer using physical-vapor-deposited (PVD) a-C mask was investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in $CH_{2}F_{2}/H_{2}/Ar$ plasmas : HF/LF powr ratio ($P_{HF}/P_{LF}$), and $CH_{2}F_{2}$ and $H_2$ flow rates. It was found that infinitely high etch selectivities of the $Si_{3}N_{4}$ layers to the PVD a-C on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The $H_2$ and $CH_{2}F_{2}$ flow ratio was found to play a critical role in determining the process window for infinite $Si_{3}N_{4}$/PVDa-C etch selectivity, due to the change in the degree of polymerization. Etching of ArF PR/BARC/$SiO_x$/PVDa-C/$Si_{3}N_{4}$ MLR structure supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the $Si_{3}N_{4}$ layer.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Role of CH2F2 and N-2 Flow Rates on the Etch Characteristics of Dielectric Hard-mask Layer to Extreme Ultra-violet Resist Pattern in CH2F2/N2/Ar Capacitively Coupled Plasmas

  • Kwon, B.S.;Lee, J.H.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.210-210
    • /
    • 2011
  • The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.

  • PDF

Preparation of Ultrafine C/N Controled TiCxNy Powders by Magnesium Reduction (마그네슘환원에 의한 C/N 조성제어 초미립 TiCxNy 분말 합성)

  • Lee, Dong-Won;Kim, Byoung-Kee;Yun, Jung-Yeul;Yu, Ji-Hoon;Kim, Yong-Jin
    • Journal of Powder Materials
    • /
    • v.17 no.2
    • /
    • pp.142-147
    • /
    • 2010
  • The ultrafine titanium carbonitride ($TiC_xN_y$) particles below 100 nm in mean size, including various carbon and nitrogen contents (x=0.55~0.9, y=0.1~0.5), were successfully synthesized by new Mg-thermal reduction process. Nanostructured sub-stoichiometric titanium carbide ($TiC_x$) particles were initially produced by the magnesium reduction of gaseous $TiCl_4+x/2C_2Cl_4$ at $890^{\circ}C$ and post heat treatments in vacuum were performed for 2 hrs to remove residual magnesium and magnesium chloride mixed with $TiC_x$. Finally, well C/N-controled $TiC_xN_y$ phases were successfully produced by nitrification heat treatment under normal $N_2$ gas atmosphere at $1150^{\circ}C$ for 2 hrs. The values of purity, mean particle size and oxygen content of produced particles were about 99.3%, 100 nm and 0.2 wt.%, respectively.

Development of High Entropy Alloy Film using Magnetron Sputtering

  • Kim, Young Seok;Lim, Ki Seong;Kim, Ki Buem
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.129-129
    • /
    • 2018
  • Hard coating application is effective way of cutting tool for hard-to-machine materials such as Inconel, Ti and composite materials focused on high-tech industries which are widely employed in aerospace, automobile and the medical device industry also Information Technology. In cutting tool for hard-to-machine materials, high hardness is one of necessary condition along with high temperature stability and wear resistance. In recent years, high-entropy alloys (HEAs) which consist of five or more principal elements having an equi-atomic percentage were reported by Yeh. The main features of novel HEAs reveal thermodynamically stable, high strength, corrosion resistance and wear resistance by four characteristic features called high entropy, sluggish diffusion, several-lattice distortion and cocktail effect. It can be possible to significantly extend the field of application such as cutting tool for difficult-to-machine materials in extreme conditions. Base on this understanding, surface coatings using HEAs more recently have been developed with considerable interest due to their useful properties such as high hardness and phase transformation stability of high temperature. In present study, the nanocomposite coating layers with high hardness on WC substrate are investigated using high entropy alloy target made a powder metallurgy. Among the many surface coating methods, reactive magnetron sputtering is considered to be a proper process because of homogeneity of microstructure, improvement of productivity and simplicity of independent control for several critical deposition parameters. The N2 is applied to reactive gas to make nitride system with transition metals which is much harder than only alloy systems. The acceleration voltage from 100W to 300W is controlled by direct current power with various deposition times. The coating layers are systemically investigated by structural identification (XRD), evaluation of microstructure (FE-SEM, TEM) and mechanical properties (Nano-indenter).

  • PDF