• Title/Summary/Keyword: Gas diffusion layer

Search Result 264, Processing Time 0.033 seconds

Formation and stability of a ruthenium-oxide thin film made of the $O_2$/Ar gas-mixture sputtering

  • Moonsup Han;Jung, Min-Cherl;Kim, H.-D.;William Jo
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.5 no.2
    • /
    • pp.47-51
    • /
    • 2001
  • To obtain high remnant polarization and good crystalinity of ferroelectric thin films in non-volatile memory devices, the high temperature treatment in oxygen ambient is inevitable. Severe problems that occur in this process are oxygen diffusion into substrate, oxidation of electrode and buffer layer, degradation of microstructure and so on. We made ruthenium dioxide thin film by reactive sputtering with oxygen and argon mixture atmosphere. Comparing quantitatively the core-level spectra of Ru and RuO$_2$ obtained by x-ray photoelectron spectroscopy(XPS), we found that chemical state of RuO$_2$ is very stable and of good resistance to oxygen diffusion and oxidation of adjacent layers. It opens the use of RuO$_2$ thin film as a multifunctional layer of good conducting electrode and resistive barrier for the diffusion and the oxidation. We also suggest a correct understanding of Ru 3d core-level spectrum for RuO$_2$ based on the scheme of final state screening and charge transfer satellites.

  • PDF

Characteristics of TaN by Atomic Layer Deposition as a Copper Diffusion Barrier (ALD법을 이용해 증착된 TaN 박막의 Cu 확산방지 특성)

  • Na, Kyoung-Il;Hur, Won-Nyung;Boo, Sung-Eun;Lee, Jung-Hee
    • Journal of Sensor Science and Technology
    • /
    • v.13 no.3
    • /
    • pp.195-198
    • /
    • 2004
  • For a diffusion barrier against copper, tantalum nitride films have been deposited on $SiO_{2}$ by atomic layer deposition (ALD), using PEMAT(Pentakis(ethylmethylamino)tantalum) and $NH_{3}$ as precursors, Ar as purging gas. The deposition rate of TaN at substrate temperature $250^{\circ}C$ was about $0.67{\AA}$ per one cycle. The stability of TaN films as a Cu diffsion barrier was tested by thermal annealing for 30 minutes in $N_{2}$ ambient and characterized through XRD, sheet resistance, and C-V measurement(Cu($1000{\AA}$)/TaN($50{\AA}$)/$SiO_{2}$($2000{\AA}$)/Si capacitor fabricated), which prove the TaN film maintains the barrier properties Cu below $400^{\circ}C$.

Numerical Study of Land/Channel Flow-Field Optimization in Polymer Electrolyte Fuel Cells (PEFCs) (II) - The Effects of Land/Channel Flow-Field on Temperature and Liquid Saturation Distributions - (고분자전해질형연료전지의 가스 채널 최적화를 위한 수치적 연구 (II) - 가스 채널 치수가 온도와 액체포화 분포에 미치는 영향성 -)

  • Ju, Hyun-Chul;Nam, Jin-Moo
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.33 no.9
    • /
    • pp.688-698
    • /
    • 2009
  • Using the multi-dimensional, multi-phase, nonisothermal Polymer Electrolyte Fuel Cell (PEFC) model presented in Part I, the effects of land/channel flow-field on temperature and liquid saturation distributions inside PEFCs are investigated in Part II. The focus is placed on exploring the coupled water transport and heat transfer phenomena within the nonisothermal and two-phase zone existing in the diffusion media (DM) of PEFCs. Numerical simulations are performed varying the land and channel widths and simulation results reveal that the water profile and temperature rise inside PEFCs are considerably altered by changing the land and channel widths, which indicates that oxygen supply and heat removal from the channel to the land regions and liquid water removal from the land toward the gas channels are key factors in determining the water and temperature distributions inside PEFCs. In addition, the adverse liquid saturation gradient along the thru-plane direction is predicted near the land regions by the numerical model, which is due to the vapor-phase diffusion driven by the temperature gradient in the nonisothermal two-phase DM where water evaporates at the hotter catalyst layer, diffuses as a vapor form and then condenses on the cooler land region. Therefore, the vapor phase diffusion exacerbates DM flooding near the land region, while it alleviates DM flooding near the gas channel.

Heat Transfer by Heat Generation in Electrochemical Reaction of PEMFC (고분자 전해질 연료전지에서 전기화학반응 열생성에 의한 열전달특성)

  • Han, Sang-Seok;Lee, Pil-Hyong;Lee, Jae-Young;Park, Chang-Soo;Hwang, Sang-Soon
    • Journal of the Korean Electrochemical Society
    • /
    • v.11 no.4
    • /
    • pp.273-283
    • /
    • 2008
  • GDL(Gas Diffusion Layer) is one of the main components of PEM fuel cell. It transports reactants from the channel to the catalyst and removes reaction products from the catalyst to the channels in the flow filed plate. It is known that higher permeability of GDL can make it possible to enhance the gas transport through GDL, leading to better performance. And MEA's temperature is determined by gas and heat transport. In this paper, three dimensional numerical simulation of PEM fuel cell of parallel channel and serpentine channel by the permeability of GDL is presented to analysis heat and mass transfer characteristics using a FLUENT modified to include the electrochemical behavior. Results show that in the case of parallel channel, performance variation with change of permeability of GDL was not so much. This is thought because mass transfer is carried out by diffusion mechanism in parallel channel. Also, in the case of serpentine channel, higher GDL permeability resulted in better performance of PEM fuel cell because of convection flow though GDL. And mass transfer process is changed from convection to diffusion when the permeability becomes low.

The Influence of Initial Structure on the Nitriding characteristics of Tool Steels in gasnitriding (공구강의 가스질화시 질화특성에 미치는 초기조직의 영향)

  • Kim, Y.H.;Kim, M.J.
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.7 no.4
    • /
    • pp.318-327
    • /
    • 1994
  • According to conventional nitriding of tool steels, it was very difficult to produce a high surface hardness. This study has been conducted to investigate the influence of initial structures on the nitriding characteristics of tool steels in gas-nitrided for the improvement in surface hardness. The specimens (SACM645, STD61 steels) have been quenching and tempering at various temperature and then gas-nitrided for 30, 45 and 60hr at 500, 530 and $550^{\circ}C$ respectively in gasatmosphere of 30%$NH_3-70%N_2$ As hardness of initial structure was higher, the nitriding layer was deeper and hardness of the nitriding layer was higher. Deeper nitriding layers was due to higher diffusion rate by fine initial microstructure. Also the reason of high surface hardness was associated with formation of dispersed fine carbonitrides of nitrides.

  • PDF

Optimization of PEM Fuel Cell System Using a RSM (반응표면기법에 의한 고분자전해질형 연료전지 시스템의 최적화)

  • Xuan, Dongji;Kim, Jin-Wan;Nan, Yanghai;Ning, Qian;Kim, Young-Bae
    • Proceedings of the KSME Conference
    • /
    • 2008.11b
    • /
    • pp.3140-3141
    • /
    • 2008
  • The output power efficiency of the fuel cell system depends on the demanded current, stack temperature, air excess ratio, hydrogen excess ratio and inlet air humidity. Thus, it is necessary to determine the optimal operation condition for maximum power efficiency. In this paper, we developed a dynamic model of fuel cell system which contains mass flow model, diffusivity gas layer model, membrane hydration and electrochemistry model. In order to determine the maximum output power and minimum use of hydrogen in a certain power condition, response surface methodology (RSM) optimization based on the proposed PEMFC stack model is presented. The results provide an effective method to optimize the operation condition under varied situations.

  • PDF

Magnetic Properties of Spin Valve Ta Underlayer Depending on N2 Concentration and Annealing Temperature (스핀 밸브 Ta 하지층의 질소함유량 변화와 열처리 온도에 따른 자기적 특성)

  • Choi, Yeon-Bong;Kim, Ji-Won;Jo, Soon-Chul;Lee, Chang-Woo
    • Journal of the Korean Magnetics Society
    • /
    • v.15 no.4
    • /
    • pp.226-230
    • /
    • 2005
  • In this research, magnetic properties and annealing effects of the spin valve structures were investigated, which have Ta underlayer deposited with Ar and $N_2$ gas mixture. Also, TaN underlayer as a diffusion barrier and the substrate were investigated. The structure of the spin valve was Si($SiO_2$)/Ta(TaN)/NiFe/CoFe/Cu/CoFe/FeMn/Ta. Deposition rate was decreased and resistivity and roughness of the TaN films were increased as the $N_2$ gas flow was increased. The XRD results after high temperature annealing showed that Silicides were created in Si/Ta layer, but not in Si/TaN layer. Magnetoresistance ratio (MR) and exchange coupling field ($H_{ex}$) were decreased when the $N_2$ gas flow was increased over 4.0 sccm. The MR of the spin valves with Ta and TaN films deposited with up to 4.0 sccm of $N_2$ gas flow was increased about $0.5\%$ until the annealing temperature of up to $200^{\circ}C$ and then, decreased. TaN film deposited with 8.0 sccm of $N_2$ gas flow showed twice the adhesion of the Ta film. The above results indicate that with 3.0 sccm of $N_2$ gas flow during the Ta underlayer deposition, the magnetic properties of the spin valves are maintained, while the underlayer may be used as a diffusion barrier and the adhesion between the Si substrate and the underlayer is increased.

Thermal Stability of the Interface between TaN Deposited by MOCVD and Electroless-plated Cu Film (MOCVD 방법으로 증착된 TaN와 무전해도금된 Cu박막 계면의 열적 안정성 연구)

  • 이은주;황응림;오재응;김정식
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.12
    • /
    • pp.1091-1098
    • /
    • 1998
  • Thermal stability of the electroless deposited Cu thin film was investigated. Cu/TaN/Si multilayer was fabricated by electroless-depositing Cu thin layer on TaN diffusion barrier layer which was deposited by MOCVD on the Si substrate, and was annealed in $H_2$ ambient to investigate the microstructure of Cu film with a post heat-treatment. Cu thin film with good adhesion was successfully deposited on the surface of the TaN film by electroless deposition with a proper activation treatment and solution control. Microstructural property of the electroless-deposited Cu layer was improved by a post-annealing in the reduced atmosphere of $H_2$ gas up to $600^{\circ}C$. Thermal stability of Cu/TaN/Si system was maintained up to $600^{\circ}C$ annealing temperature, but the intermediate compounds of Cu-Si were formed above $650^{\circ}C$ because Cu element passed through the TaN layer. On the other hand, thermal stability of the Cu/TaN/Si system in Ar ambient was maintained below $550^{\circ}C$ annealing temperature due to the minimal impurity of $O_2$ in Ar gas.

  • PDF

An Experimental Study on Turbulent Diffusion Flame in Double Coaxial Air Jets(II) (동축이중 공기분류중의 난류확산화염에 관한 실험적 연구 II)

  • 조용대;최병윤
    • Transactions of the Korean Society of Mechanical Engineers
    • /
    • v.14 no.5
    • /
    • pp.1234-1243
    • /
    • 1990
  • Double coaxial are jets(annular and coaxial air jets) between which propane gas is fed was selected to study the structure of diffusion flames in turbulent shear flow. Schlieren and direct photographs are taken to visualize the flame structure. Mean and fluctuating temperatures and ion currents were measured to investigate the macroscopic and the instantaneous flame structure. The objective of this study is to understand the interaction between combustion and mixing process especially in the transition region of turbulent shear flow. The investigation reported in this paper focuses on the macroscopic and the instantaneous structures of three flames obtained. The increased mixing effect resulting from increase of Reynolds number of central air jet makes the flame bluish and short. When the velocity of surrounding air stream is higher than that of central air jet, the instantaneous flame structure is composed of coherent structure. It is considered that the flame structure of transitional region of mixing layer depends on the structure of mixing layer of non-reacting conditions.

Characteristics of MOCVD Cobalt on ALD Tantalum Nitride Layer Using $H_2/NH_3$ Gas as a Reactant

  • Park, Jae-Hyeong;Han, Dong-Seok;Mun, Dae-Yong;Yun, Don-Gyu;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.377-377
    • /
    • 2012
  • Microprocessor technology now relies on copper for most of its electrical interconnections. Because of the high diffusivity of copper, Atomic layer deposition (ALD) $TaN_x$ is used as a diffusion barrier to prevent copper diffusion into the Si or $SiO_2$. Another problem with copper is that it has weak adhesion to most materials. Strong adhesion to copper is an essential characteristic for the new barrier layer because copper films prepared by electroplating peel off easily in the damascene process. Thus adhesion-enhancing layer of cobalt is placed between the $TaN_x$ and the copper. Because, cobalt has strong adhesion to the copper layer and possible seedless electro-plating of copper. Until now, metal film has generally been deposited by physical vapor deposition. However, one draw-back of this method is poor step coverage in applications of ultralarge-scale integration metallization technology. Metal organic chemical vapor deposition (MOCVD) is a good approach to address this problem. In addition, the MOCVD method has several advantages, such as conformal coverage, uniform deposition over large substrate areas and less substrate damage. For this reasons, cobalt films have been studied using MOCVD and various metal-organic precursors. In this study, we used $C_{12}H_{10}O_6(Co)_2$ (dicobalt hexacarbonyl tert-butylacetylene, CCTBA) as a cobalt precursor because of its high vapor pressure and volatility, a liquid state and its excellent thermal stability under normal conditions. Furthermore, the cobalt film was also deposited at various $H_2/NH_3$ gas ratio(1, 1:1,2,6,8) producing pure cobalt thin films with excellent conformality. Compared to MOCVD cobalt using $H_2$ gas as a reactant, the cobalt thin film deposited by MOCVD using $H_2$ with $NH_3$ showed a low roughness, a low resistivity, and a low carbon impurity. It was found that Co/$TaN_x$ film can achieve a low resistivity of $90{\mu}{\Omega}-cm$, a low root-mean-square roughness of 0.97 nm at a growth temperature of $150^{\circ}C$ and a low carbon impurity of 4~6% carbon concentration.

  • PDF