• Title/Summary/Keyword: Flexible substrates

Search Result 380, Processing Time 0.027 seconds

Innovations in Materials Deposition for Plastic Electronics

  • Creagh, Linda T.
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07a
    • /
    • pp.673-675
    • /
    • 2005
  • Ink jet printheads are now widely used in manufacturing processes that require precise dispensing of materials. Today, Dimatix manufactures a variety of drop-on-demand ink jet printheads for the industrial printing market, but emerging opportunities present fresh challenges to our technology. In response to requirements for digitally printing on flexible substrates and dispensing novel electronic fluids, we are developing next generation jetting technology based on our three-dimensional silicon MEMS technology with a piezo-driven pumping chamber integrated into the chip structure. This presentation will address the functional and physical design features and properties of Dimatix's MEMS process, its characteristics, reliability and usability. Examples of opportunities and applications for digitally printing electronic fluids on flexible substrates with MEMS-based ink jet technology will be presented.

  • PDF

Electrical and Optical Properties of CdS Thin Films grown on the Flexible Substrate (유연한 기판위에 성장된 CdS 박막의 전기적, 광학적 특성)

  • Kim, Young-Dong;Na, Young-Il;Lee, Jae-Heong;Jung, Hak-Kee;Jung, Dong-Su;Lim, Dong-Gun;Yang, Kea-Joon;Yi, Jun-Sin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.276-279
    • /
    • 2004
  • CdS thin films on polymer substrates such as polycarbonate(PC) and polyethylene terephthalat(PET) have many merits such as light weight, small volume and can make the obtained devices folded, easily carried. In present work, CdS thin films on glass, PC, and PET substrates have been prepared by chemical bath deposition. The structural and optical propertied of the films depending on substrate types have been investigated.

  • PDF

Dielectric $Bi_3NbO_7$ thin film grown on flexible substrates by Nano Cluster Deposition

  • Lee, Hyun-Woo;Yoon, Soon-Gil
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.10-10
    • /
    • 2009
  • Transparent BNO thin films were grown on Al-doped ZnO (AZO)/Ag/AZO/polyethersulfon (PES) (abbreviated as AAAP) transparent electrodes at a low temperature by the NCD technique. The BNO films grown on the crystallized AZO/Ag/AZO (AAA) electrodes exhibit an amorphous phase with a root mean square (rms) roughness of approximately 2 nm in the range of deposition temperature. The capacitors (Pt/BNO/AAAP) with BNO films grown at $100^{\circ}C$ show a dielectric constant of 24 and dissipation factor of 8% at 100 kHz, a leakage current density of about $8{\times}10^{-6}A/cm^2$ at an applied voltage of 1.0V. The optical transmittances of the BNO/AAAP exhibited above 80% at wavelength of 550nm at all of deposition temperature. The mechanical stability of the BNO/AAA as well as AAA electrode with the PES substrates through the bending was ensured for flexible electronic device applications. The transparent BNO capacitors grown on AAAP are powerful candidate for integration with the transparent solar cells.

  • PDF

Nanoplasmonics: Enabling Platform for Integrated Photonics and Sensing

  • Yeo, Jong-Souk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.75-75
    • /
    • 2015
  • Strong interactions between electromagnetic radiation and electrons at metallic interfaces or in metallic nanostructures lead to resonant oscillations called surface plasmon resonance with fascinating properties: light confinement in subwavelength dimensions and enhancement of optical near fields, just to name a few [1,2]. By utilizing the properties enabled by geometry dependent localization of surface plasmons, metal photonics or plasmonics offers a promise of enabling novel photonic components and systems for integrated photonics or sensing applications [3-5]. The versatility of the nanoplasmonic platform is described in this talk on three folds: our findings on an enhanced ultracompact photodetector based on nanoridge plasmonics for photonic integrated circuit applications [3], a colorimetric sensing of miRNA based on a nanoplasmonic core-satellite assembly for label-free and on-chip sensing applications [4], and a controlled fabrication of plasmonic nanostructures on a flexible substrate based on a transfer printing process for ultra-sensitive and noise free flexible bio-sensing applications [5]. For integrated photonics, nanoplasmonics offers interesting opportunities providing the material and dimensional compatibility with ultra-small silicon electronics and the integrative functionality using hybrid photonic and electronic nanostructures. For sensing applications, remarkable changes in scattering colors stemming from a plasmonic coupling effect of gold nanoplasmonic particles have been utilized to demonstrate a detection of microRNAs at the femtomolar level with selectivity. As top-down or bottom-up fabrication of such nanoscale structures is limited to more conventional substrates, we have approached the controlled fabrication of highly ordered nanostructures using a transfer printing of pre-functionalized nanodisks on flexible substrates for more enabling applications of nanoplasmonics.

  • PDF

Characteristics of Indium Tin Zinc Oxide Thin Film Transistors with Plastic Substrates (고분자 기판과 PECVD 절연막에 따른 ITZO 박막 트랜지스터의 특성 분석)

  • Yang, Dae-Gyu;Kim, Hyoung-Do;Kim, Jong-Heon;Kim, Hyun-Suk
    • Korean Journal of Materials Research
    • /
    • v.28 no.4
    • /
    • pp.247-253
    • /
    • 2018
  • We examined the characteristics of indium tin zinc oxide (ITZO) thin film transistors (TFTs) on polyimide (PI) substrates for next-generation flexible display application. In this study, the ITZO TFT was fabricated and analyzed with a SiOx/SiNx gate insulator deposited using plasma enhanced chemical vapor deposition (PECVD) below $350^{\circ}C$. X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS) results revealed that the oxygen vacancies and impurities such as H, OH and $H_2O$ increased at ITZO/gate insulator interface. Our study suggests that the hydrogen related impurities existing in the PI and gate insulator were diffused into the channel during the fabrication process. We demonstrate that these impurities and oxygen vacancies in the ITZO channel/gate insulator may cause degradation of the electrical characteristics and bias stability. Therefore, in order to realize high performance oxide TFTs for flexible displays, it is necessary to develop a buffer layer (e.g., $Al_2O_3$) that can sufficiently prevent the diffusion of impurities into the channel.

Synthesis of Cardo Based Poly(arylene ether)s for Flexible Plastic Substrates and Their Properties

  • Kim, Moon-Ki;Kwon, Kyung-Jae;Han, Yang-Kyoo
    • Bulletin of the Korean Chemical Society
    • /
    • v.32 no.9
    • /
    • pp.3311-3316
    • /
    • 2011
  • New poly(arylene ether)s (PAEs) with both transparency and heat-resistance were prepared by a polycondensation of FBPODS, an ordered-sequence aromatic dihalide, and cardo typed aromatic diols containing fluorene and/or adamantane moiety and also non-cardo typed 1,5-naphthalene diol. The resulting polymers had their glass transition temperatures ranged from 202 to $247^{\circ}C$. Based on TGA data, they exhibited excellent thermal stabilities, showing 5% weight loss at $434-487^{\circ}C$. They had low thermal expansion coefficients of 58-59 ppm at temperature range of $50-200^{\circ}C$ as well as good mechanical properties with moduli of 1757-2143 MPa. The optical transmittance for the PAE films was over 70% at 550 nm, except for the PAE that contains naphthalene moiety (30% at 550 nm). They also showed water uptake of about 0.68% regardless of their chemical compositions. Therefore, the newly developed PAEs show strong potential as plastic substrates for flexible devices for display, solar cell and e-paper.

Electro-mechanical Analyses of Thin Film Transistors for Flexible Displays

  • Saran, Neerja;Roh, Nam-Seok;Kim, Sang-Il;Lee, Woo-Jae;Kim, Jong-Seong;Hwang, Tae-Hyung;Hong, Seok-Joon;Kim, Myeong-Hee;Lim, Soon-Kwon;Souk, Jun-Hyung
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.670-673
    • /
    • 2008
  • Good mechanical properties of thin-film transistors on plastic substrates are an essential parameter in the development of robust flexible displays. In this paper, a careful investigation is carried out on TFT backplane on plastic substrates under cyclic bending conditions. Bending modes of tensile and compressive as well as parallel and perpendicular orientation-dependent bending of channel have been analyzed carefully. This analysis will be helpful in knowing the electro-mechanical performance boundaries of the TFT devices so as to determine the bending limitations of our flexible displays.

  • PDF

Electrical Properties and Reliability of CdS Thin Film Deposited by R.F. Sputtering (유연성 기판위에 스퍼터링 방법으로 증착한 CdS 박막의 전기적 특성 및 신뢰성 평가)

  • Hur, Sung-Gi;Hwang, Mi-Na;Ahn, Jun-Ku;Yoon, Soon-Gil
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2010.06a
    • /
    • pp.26-26
    • /
    • 2010
  • Cadmium sulfide (CdS) thin film for flexible optical device applications were prepared at $H_2(Ar+H_2)$ flow ratios on polyethersulfon(PES) flexible polymer substrates at room temperature by radio frequency magnetron sputtering technique. The CdS thin films deposited at room temperature showed a (002) preferred orientation and the smooth surface morphologies. Films deposited at a hydrogen flow ratio of 25% exhibited a photo- and dark-sheet resistance of about 50 and $2.7{\times}10^5{\Omega}$/square, respectively. From the result of the bending test, CdS films exhibit a strong adhesion with the PES polymer substrates and the $Al_2O_3$ passivation layer deposited on the CdS films only shows an increase of the resistance of 8.4% after exposure for 120 h in air atmosphere.

  • PDF

The Characterization of Spin Coated ZnO TCO on the Flexible Substrates (Spin-coating을 이용하여 Flexible Film에 제작된 ZnO TCO의 특성 분석)

  • Jun, Min-Chul;Lee, Ku-Tak;Park, Sang-Uk;Lee, Kyung-Ju;Moon, Byung-Moo;Cho, Won-Ju;Koh, Jung-Hyuk
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.4
    • /
    • pp.290-293
    • /
    • 2012
  • This article introduces the characterization of spin coated ZnO transparent conducting oxide on the flexible substrates. As a II-IV compound semiconductor, ZnO has a wide band gap of 3.37 eV with transparent properties. Due to this transparent properties, ZnO materials can be also employed as the transparent conducting electrode materials. Therefore, strong demands have been required for the transparent electrodes with low temperature processing and cheap cost. So, We will investigate the electrical property and optical transmittance of ZnO transparent conducting oxide through the 4-point probe resistivity meter, and ultraviolet-vis spectrometer Lamda 35, respectively.

Laser Sintering of Silver Nanoparticle for Flexible Electronics (유연소자 응용을 위한 은 나노입자의 레이저 소결)

  • Jia, Seok Young;Park, Won Tea;Noh, Yong-Young;Chang, Won Seok
    • Journal of the Korean Society of Manufacturing Technology Engineers
    • /
    • v.24 no.1
    • /
    • pp.135-139
    • /
    • 2015
  • We present a fine patterning method of conductive lines on polyimide (PI) and glass substrates using silver (Ag) nanoparticles based on laser scanning. Controlled laser irradiation can realize selective sintering of conductive ink without damaging the substrate. Thus, this technique easily creates fine patterns on heat-sensitive substrates such as flexible plastics. The selective laser sintering of Ag nanoparticles was managed by optimizing the conditions for the laser scan velocity (1.0-20 mm/s) and power (10-150 mW) in order to achieve a small gap size, high electrical conductivity, and fine roughness. The fabricated electrodes had a minimum channel length of $5{\mu}m$ and conductivity of $4.2{\times}10^5S/cm$ (bulk Ag has a conductivity of $6.3{\times}10^5S/cm$) on the PI substrate. This method was used to successfully fabricate an organic field effect transistor with a poly(3-hexylthiophene) channel.