• Title/Summary/Keyword: Flexible substrates

Search Result 379, Processing Time 0.03 seconds

5-3: [Invited] Roll-to-Roll Manufacturing of Electronics on Flexible Substrates Using Self-Aligned Imprint Lithography (SAIL)

  • Kim, Han-Jun;Almanza-Workman, Marcia;Chaiken, Alison;Elder, Richard;Garcia, Bob;Jackson, Warren;Jeans, Albert;Kwon, Oh-Seung;Luo, Hao;Mei, Ping;Perlov, Craig;Taussig, Carl;Jeffrey, Frank;Beacom, Kelly;Braymen, Steve;Hauschildt, Jason;Larson, Don
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.82-85
    • /
    • 2008
  • We are working towards large-area arrays of thin film transistors on polymer substrates using roll-to-roll (R2R) processes exclusively. Self-aligned imprint lithography (SAIL) is an enabler to pattern and align submicron features on meter-scaled flexible substrates in the R2R environment. The progress, current status and remaining issues of this new fabrication technology are presented.

  • PDF

The Influence of Encapsulation Layer Incorporated into Flexible Substrates for Bending Stress (Flexible 기판의 Bending Stress에 대한 Encapsulation Layer의 영향)

  • Park, Jun-Baek;Seo, Dae-Shik;Lee, Sang-Keuk;Lee, Joon-Ung;Kim, Yong-Hoon;Moon, Dae-Gyu;Han, Jeong-In
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.473-476
    • /
    • 2003
  • This paper shows necessity of encapsulation layer to maximite flexibility of brittle indium-tin-oxide (ITO) on polymer substrates. And, Young's modulus (E) of encapsulation layer have an significant effect on external bending stress and the coefficient of thermal expansion (CTE) of that have a significant effect on internal thermal stress. To compare magnitude of total mechanical stress including both bending stress and thermal stress, the mechanical stress of triple-layer structure (substrate / ITO / encapsulation layer or substrate / buffer layer / ITO) can be quantified and numerically analyzed through the farthest cracked island position. As a result, it should be noted that multi-layer structures with more elastic encapsulation material have small mechanical stress compared to that of buffer and encapsulation structure of large Young's modulus material when they were externally bent.

  • PDF

Effects of Various Substrates on the Laser Direct Etching of the Sputtered ZnO Films (스퍼터링된 산화 아연 박막의 레이저 직접 식각 시 기판에 의한 영향)

  • Oh, Gi Taek;Kwon, Sang Jik;Cho, Eou Sik
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.26 no.12
    • /
    • pp.894-898
    • /
    • 2013
  • Zinc oxide(ZnO) was sputtered on various glass and flexible substrates such as polyethylene terephthalate(PET) and polycarbonate(PC). A Q-switched $Nd:YVO_4$ laser with a wavelength of 1,064 nm was used for the direct etching of ZnO films. It was possible to obtain laser etched line patterns on the ZnO films on PC substrate at some specific laser beam conditions. In the flexible substrates, more thermal energy of laser beam is expected to be spreaded for the etching process.

Smooth and uniform coated films on flexible substrates by optimization of slot-die process parameters

  • Jeong, Guk-Chae;Jeong, Tae-Jeong;Kim, Yeong-Guk;Choe, Cheol-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.179-179
    • /
    • 2009
  • For the deposition of the semiconductor nanocrystals or quantum dots, it is required to have the substrates with smooth surface roughness. Slot-die coating method wad adopted and optimized varying the processing parameters like coating speed, gap distance, solution concentration, etc to get the smooth coated films on flexible substrates. The coating speed in slot-die method was varied from 1 m/min to 2.5 m/min focusing especially on its industrial usage. The gap distance between the substrate surface and slot-die lip was changed also to control mainly the thickness of coated films.

  • PDF

Stretchable and Foldable Electronics by Use of Printable Single-Crystal Silicon

  • Ahn, Jong-Hyun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.29-29
    • /
    • 2008
  • Realization of electronics with performance equal to established technologies that use rigid semiconductor wafers, but in lightweight, foldable and stretchable formats would enable many new application possibilities. Examples include wearable systems for personal health monitoring, 'smart' surgical gloves with integrated electronics and electronic eye type imagers that incorporate focal plane arrays on hemispherical substrates. Circuits that use organic or certain classes of inorganic electronic materials on plastic or steel foil substrates can provide some degree of mechanical flexibility, but they cannot be folded or stretched. Also, with few exceptions such systems offer only modest electrical performance. In this talk, I will present a new approach to high performance, flexible and stretchable integrated circuits. These systems combine single-crystal silicon nanoribbons with thin plastic or elastomeric substrates using both "top-down" and "transfer-printing" technologies. The strategies represent promising routes to high performance, flexible and stretchable optoelectronic devices that can incorporate established, high performance inorganic electronic materials.

  • PDF

Rubbing effect on orientation of Copper Phthalocyanine for flexible organic field-effect transistors

  • Kim, Hyun-Gi;Jang, Jung-Soo;Choi, Suk-Won;Ishikawa, Ken;Takezoe, Hideo;Kim, Sung-Soo
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.1319-1321
    • /
    • 2009
  • Copper phthalocyanine (CuPc) Field-effect transistors (FETs) was successfully fabricated on plastic substrates. Orientation of CuPc crystallites on substrate could be obtained via rubbing process. It was revealed that CuPc crystallites were perpendicularly aligned on PES substrates with the rubbing direction. The performance of FETs was affected by orientation of CuPc on rubbed substrates.

  • PDF

Fabrication and Characteristics of ZnO TFTs for Flexible Display using Low Temp Process (Flexible Display용 Low Temp Process를 이용한 ZnO TFT의 제작 및 특성 평가)

  • Kim, Young-Su;Kang, Min-Ho;Nam, Dong-Ho;Choi, Kang-Il;Oh, Jae-Sub;Song, Myung-Ho;Lee, Hi-Deok;Lee, Ga-Won
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.44-44
    • /
    • 2009
  • Recently, transparent ZnO-based TFTs have attracted much attention for flexible displays because they can be fabricated on plastic substrates at low temperature. We report the fabrication and characteristics of ZnO channel layers(ZnO TFTs) having different channel thicknesses. The ZnO film were deposited as active channel layers on $Si_3N_4/Ti/SiO_2p$-Si substrates by rf magnetron sputtering at $100\;^{\circ}C$ without additional annealing. Also the Zno thin films deposited at oxygen partial pressures of 40%. ZnO TFTs using a bottom-gate configuration were investigated. The $Si_3N_4$ film were deposited as gate insulator by PE-CVD at $15\;^{\circ}C$. All Processes were processed below $150^{\circ}C$ which is optimal temperature for flexible display and were used dry etching method.

  • PDF

Graphene Oxide Thin Films for Nonvolatile Memory Applications

  • Kim, Jong-Yun;Jeong, Hu-Young;Choi, Hong-Kyw;Yoon, Tae-Hyun;Choi, Sung-Yool
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.9-9
    • /
    • 2011
  • There has been strong demand for novel nonvolatile memory technology for low-cost, large-area, and low-power flexible electronics applications. Resistive memories based on metal oxide thin films have been extensively studied for application as next-generation nonvolatile memory devices. However, although the metal oxide-based resistive memories have several advantages, such as good scalability, low-power consumption, and fast switching speed, their application to large-area flexible substrates has been limited due to their material characteristics and necessity of a high-temperature fabrication process. As a promising nonvolatile memory technology for large-area flexible applications, we present a graphene oxide-based memory that can be easily fabricated using a room temperature spin-casting method on flexible substrates and has reliable memory performance in terms of retention and endurance. The microscopic origin of the bipolar resistive switching behaviour was elucidated and is attributed to rupture and formation of conducting filaments at the top amorphous interface layer formed between the graphene oxide film and the top Al metal electrode, via high-resolution transmission electron microscopy and in situ x-ray photoemission spectroscopy. This work provides an important step for developing understanding of the fundamental physics of bipolar resistive switching in graphene oxide films, for the application to future flexible electronics.

  • PDF

PDMS기판에 이온빔 처리에 따른 수평 액정의 배향 연구

  • Kim, Yeong-Hwan;O, Byeong-Yun;Kim, Byeong-Yong;Lee, Won-Gyu;Im, Ji-Hun;Na, Hyeon-Jae;Seo, Dae-Sik
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.159-159
    • /
    • 2009
  • We characterize a flexible self-assembled liquid crystal display (LCD) fabricated from a polyimide (PI) alignment layer with polydimethylsiloxane pixel walls. Ion beam (IB) irradiation aligned LC molecules in the PI layer and bonded two flexible plastic substrates in a one-step assembly of the pixel walls. X-ray photoelectron spectroscopic analysis, Fourier transform infrared spectroscopy, and scanning electron microscopy provided chemical and physical evidence for the formation of stable chemical bonds between the PI layer and the PDMS pixel walls in addition to the important maintenance of a uniform 6 um gap between the two substrates without the use of any epoxy resins or other polymers.

  • PDF

Laser Transmission Welding of Flexible Substrates and Evaluation of the Mechanical Properties (플렉서블 기판의 레이저 투과 용접 및 기계적 특성 평가)

  • Ko, Myeong-Jun;Sohn, Minjeong;Kim, Min-Su;Na, Jeehoo;Ju, Byeong-Kwon;Park, Young-Bae;Lee, Tae-Ik
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.29 no.2
    • /
    • pp.113-119
    • /
    • 2022
  • In order to improve the mechanical reliability of next-generation electronic devices including flexible, wearable devices, a high level of mechanical reliability is required at various flexible joints. Organic adhesive materials such as epoxy for bonding existing polymer substrates inevitably have an increase in the thickness of the joint and involve problems of thermodynamic damage due to repeated deformation and high temperature hardening. Therefore, it is required to develop a low-temperature bonding process to minimize the thickness of the joint and prevent thermal damage for flexible bonding. This study developed flexible laser transmission welding (f-LTW) that allows bonding of flexible substrates with flexibility, robustness, and low thermal damage. Carbon nanotube (CNT) is thin-film coated on a flexible substrate to reduce the thickness of the joint, and a local melt bonding process on the surface of a polymer substrate by heating a CNT dispersion beam laser has been developed. The laser process conditions were constructed to minimize the thermal damage of the substrate and the mechanism of forming a CNT junction with the polymer substrate. In addition, lap shear adhesion test, peel test, and repeated bending experiment were conducted to evaluate the strength and flexibility of the flexible bonding joint.