• Title/Summary/Keyword: Etched feature

Search Result 18, Processing Time 0.061 seconds

Surface Topography and Photoluminescence of Chemically Etched Porous Si (화학식각법에 의해 형성된 다공질실리콘의 표면형상 및 발광특성)

  • Kim, Hyeon-Su;Min, Seok-Gi
    • Korean Journal of Materials Research
    • /
    • v.4 no.4
    • /
    • pp.379-384
    • /
    • 1994
  • Room-temperature photoluminescent porous Si has been formed by etching Si wafer u-ith the solution of $HF:HNO_{3}: H_{2}O$=l : 5 : 10. We have observed photoluminescence(PL) spectra similar to those reported recently for porous-Si films formed by anodic etching with HF solutions. We have also investigated the dependence of PI, spectra on the etching time which was varied from 1 to 10 minutes. We found that 5-minute etching gave us the strongest PL intensity. We also found by atomic force microscopy( AFM) measurements that the surface fearure size became smaller for longer etching time and the average feature size of the etched Si wafer for 5-minute was about 1, 500~2, 000$\AA$. This indicates that the surface feature of the etched porous Si affects the PL intensity of the sample.

  • PDF

Improvement of Geometrical Structure of Cr-Gate Electrode in Mo-tip Field Emitter Array (몰리브덴 팁 전계 방출 소자에 있어서 크롬 게이트 전극 구조의 개선)

  • Ju, Byeong-Kwon;Kim, Hoon;Seo, Sang-Won;Lee, Yun-Hi
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.50 no.10
    • /
    • pp.532-535
    • /
    • 2001
  • The sputtering condition of Cr thin film was established in order to get Cr gate electrode having a vertical wall structure for Mo-tip FEA. In case of Mo-tip FEA which had a vertically-etched Cr gate electrode, the field enhancement factor, was relatively increased and so the field emission performance in terms of turn-on voltage, emission current and trans-conductance could be improved when compared with the devices having a tapered gate wall.

  • PDF

Wet Etching Behaviors of Transparent Conducting Ga-Doped Zinc Oxide Thin Film by Organic Acid Solutions

  • Lee, Dong-Kyoon;Lee, Seung-Jung;Bang, Jung-Sik;Yang, Hee-Sun
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.831-833
    • /
    • 2008
  • 150 nm thick Ga-doped ZnO thin film, which was deposited by a sputtering process, was wet-chemically etched by using various organic acids such as oxalic, citric and formic acid. Wet etch parameters including etchant concentration and temperature are investigated for each etchant, and their effects on the etch rate and the feature of edge line are compared.

  • PDF

Neural Network Recognition of Scanning Electron Microscope Image for Plasma Diagnosis (플라즈마 진단을 위한 Scanning Electron Microscope Image의 신경망 인식 모델)

  • Ko, Woo-Ram;Kim, Byung-Whan
    • Proceedings of the KIEE Conference
    • /
    • 2006.04a
    • /
    • pp.132-134
    • /
    • 2006
  • To improve equipment throughput and device yield, a malfunction in plasma equipment should be accurately diagnosed. A recognition model for plasma diagnosis was constructed by applying neural network to scanning electron microscope (SEM) image of plasma-etched patterns. The experimental data were collected from a plasma etching of tungsten thin films. Faults in plasma were generated by simulating a variation in process parameters. Feature vectors were obtained by applying direct and wavelet techniques to SEM Images. The wavelet techniques generated three feature vectors composed of detailed components. The diagnosis models constructed were evaluated in terms of the recognition accuracy. The direct technique yielded much smaller recognition accuracy with respect to the wavelet technique. The improvement was about 82%. This demonstrates that the direct method is more effective in constructing a neural network model of SEM profile information.

  • PDF

Formation of Al2O3 Film by Activated Reactive Evaporation Method (활성화 반응 증발법에 의한 Al2O3 박막 형성)

  • Park, Yong-Gwon;Choi, Jae-Ha
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.14 no.5
    • /
    • pp.292-296
    • /
    • 2001
  • In this work, an ultra-high vacuum activated reactive evaporation equipment was built. With reaction of Al and oxygen plasma, $Al_2O_3$ was deposited on the surface of etched Al foil. The chamber was evacuated down to $2{\times}10^{-7}$ torr initially. The Ar and $O_2$ gas introduced into the chamber to maintain $5{\times}10^{-5}$ torr during deposition. Ar gas prevents recombining of the ionized oxygen. Evaporation was maintained by electron beam evaporator continuously. Heating filament and electrode were used in order to generate plasma. The substrate bias of -300V was introduced to accelerate deposition of evaporated Al atoms. The composition and morphology of deposited $Al_2O_3$ films were analyzed by x-ray photoelectron spectroscopy(XPS) and atomic force microscopy (AFM), respectively. The Al oxide was formed on the surface of etched Al foil. According to AFM results, the surface morphology of $Al_2O_3$ film indicates uniform feature. Dielectric characteristic was measured as a function of frequency. Measured withstanding voltage and capacitance were 52V and $24{\mu}F/cm^2$, respectively. The obtained $Al_2O_3$ film shows clean condition without contaminants, which could be adapted to capacitor production.

  • PDF

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • v.14 no.4
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Surface reaction of $HfO_2$ etched in inductively coupled $BCl_3$ plasma ($BCl_3$ 유도결합 플라즈마를 이용하여 식각된 $HfO_2$ 박막의 표면 반응 연구)

  • Kim, Dong-Pyo;Um, Doo-Seunng;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.477-477
    • /
    • 2008
  • For more than three decades, the gate dielectrics in CMOS devices are $SiO_2$ because of its blocking properties of current in insulated gate FET channels. As the dimensions of feature size have been scaled down (width and the thickness is reduced down to 50 urn and 2 urn or less), gate leakage current is increased and reliability of $SiO_2$ is reduced. Many metal oxides such as $TiO_2$, $Ta_2O_4$, $SrTiO_3$, $Al_2O_3$, $HfO_2$ and $ZrO_2$ have been challenged for memory devices. These materials posses relatively high dielectric constant, but $HfO_2$ and $Al_2O_3$ did not provide sufficient advantages over $SiO_2$ or $Si_3N_4$ because of reaction with Si substrate. Recently, $HfO_2$ have been attracted attention because Hf forms the most stable oxide with the highest heat of formation. In addition, Hf can reduce the native oxide layer by creating $HfO_2$. However, new gate oxide candidates must satisfy a standard CMOS process. In order to fabricate high density memories with small feature size, the plasma etch process should be developed by well understanding and optimizing plasma behaviors. Therefore, it is necessary that the etch behavior of $HfO_2$ and plasma parameters are systematically investigated as functions of process parameters including gas mixing ratio, rf power, pressure and temperature to determine the mechanism of plasma induced damage. However, there is few studies on the the etch mechanism and the surface reactions in $BCl_3$ based plasma to etch $HfO_2$ thin films. In this work, the samples of $HfO_2$ were prepared on Si wafer with using atomic layer deposition. In our previous work, the maximum etch rate of $BCl_3$/Ar were obtained 20% $BCl_3$/ 80% Ar. Over 20% $BCl_3$ addition, the etch rate of $HfO_2$ decreased. The etching rate of $HfO_2$ and selectivity of $HfO_2$ to Si were investigated with using in inductively coupled plasma etching system (ICP) and $BCl_3/Cl_2$/Ar plasma. The change of volume densities of radical and atoms were monitored with using optical emission spectroscopy analysis (OES). The variations of components of etched surfaces for $HfO_2$ was investigated with using x-ray photo electron spectroscopy (XPS). In order to investigate the accumulation of etch by products during etch process, the exposed surface of $HfO_2$ in $BCl_3/Cl_2$/Ar plasma was compared with surface of as-doped $HfO_2$ and all the surfaces of samples were examined with field emission scanning electron microscopy and atomic force microscope (AFM).

  • PDF

The Study for Investigation of the sufficient vertical profile with reducing loading effect for silicon deep trench etching (Vertical Profile Silicon Deep Trench Etch와 Loading effect의 최소화에 대한 연구)

  • Kim, Sang-Yong;Jeong, Woo-Yang;Yi, Keun-Man;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.118-119
    • /
    • 2009
  • This paper presents the feature profile evolution silicon deep trench etching, which is very crucial for the commercial wafer process application. The silicon deep trenches were etched with the SF6 gas & Hbr gas based process recipe. The optimized silicon deep trench process resulted in vertical profiles (87o~90o) with loading effect of < 1%. The process recipes were developed for the silicon deep trench etching applications. This scheme provides vertically profiles without notching of top corner was observed. In this study, the production of SF6 gas based silicon deep trench etch process much more strongly than expected on the basis of Hbr gas trench process that have been investigated by scanning electron microscope (SEM). Based on the test results, it is concluded that the silicon deep trench etching shows the sufficient profile for practical MOS FET silicon deep trench technology process.

  • PDF

Modulator of surface plasmon polariton based cycle branch graphene waveguide

  • Zhu, Jun;Xu, Zhengjie;Xu, Wenju;Wei, Duqu
    • Carbon letters
    • /
    • v.25
    • /
    • pp.84-88
    • /
    • 2018
  • At present, an important research area is the search for materials that are compatible with CMOS technology and achieve a satisfactory response rate and modulation efficiency. A strong local field of graphene surface plasmon polariton (SPP) can increase the interaction between light and graphene, reduce device size, and facilitate the integration of materials with CMOS. In this study, we design a new modulator of SPP-based cycle branch graphene waveguide. The structure comprises a primary waveguide of graphene-$LiNbO_3$-graphene, and a secondary cycle branch waveguide is etched on the surface of $LiNbO_3$. Part of the incident light in the primary waveguide enters the secondary waveguide, thus leading to a phase difference with the primary waveguide as reflected at the end of the branch and interaction coupling to enhance output light intensity. Through feature analysis, we discover that the area of the secondary waveguide shows significant localized fields and SPPs. Moreover, the cycle branch graphene waveguide can realize gain compensation, reduce transmission loss, and increase transmission distance. Numerical simulations show that the minimum effective mode field area is about $0.0130{\lambda}^2$, the gain coefficient is about $700cm^{-1}$, and the quality factor can reach 150. The structure can realize the mode field limits of deep subwavelength and achieve a good comprehensive performance.

A Study on the Properties of Platinum Dry Etching using the MICP (MICP를 이용한 Platinum 건식 식각 특성에 관한 연구)

  • Kim, Jin-Sung;Kim, Jung-Hun;Kim, Youn-Taeg;Joo, Jung-Hoon;Whang, Ki-Woong
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.279-281
    • /
    • 1997
  • The properties of Platinum dry etching were investigated in MICP(Magnetized Inductively Coupled Plasma). The problem with Platinum etching is the redeposition of sputtered Platinum on the sidewall. Because of the redeposits on the sidewall, the etching of patterned Platinum structure produce feature sizes that exceed the original dimension of the PR size and the etch profile has needle-like shape.[1] Generally, $Cl_2$ plasma is used for the fence-free etching.[1][2][3] The main object of this study was to investigate a new process technology for the fence-free Pt etching. Platinum was etched with Ar plasma at the cryogenic temperature and with Ar/$SF_6$ plasma at room temperature. In cryogenic etching, the height of fence was reduced to 20% at $-190^{\circ}C$ compared with that of room temp., but the etch profile was not fence-free. In Ar/$SF_6$ Plasma, chemical reaction took part in etching process. The trend of properties of Ar/$SF_6$ Plasma etching is similar to that of $Cl_2$ Plasma etching. Fence-free etching was possible, but PR selectivity was very low. A new gas chemistry for fence-free Platinum etching was proposed in this study.

  • PDF