• Title/Summary/Keyword: Emission Mask

검색결과 70건 처리시간 0.031초

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

A Spectrum Sharing Model for Compatibility between IMT-Advanced and Digital Broadcasting

  • Hassan, Walid A.;Rahman, Tharek Abd
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • 제6권9호
    • /
    • pp.2073-2085
    • /
    • 2012
  • Recently, the International Telecommunication Union allocated the 470-862 MHz band to the digital broadcasting (DB) service. Moreover, the 790-862 MHz sub-band will be allocated to the next-generation mobile system, known as the International Mobile Telecommunication - Advanced (IMT-A), and to the DB on a co-primary basis in the year 2015. Currently, two candidate technologies are available to represent the IMT-A system; the Mobile WiMAX and Long Term Evolution - Advanced (LTE-A). One of the main criteria of the IMT-A candidate is to not cause additional interference to the primary service (i.e., DB). In this paper, we address the spectrum sharing issue between the IMT-A candidates and the DB service. More precisely, we investigate the interference effect between the DB service and the mobile network, which could be either LTE-A or WiMAX. Our study proposes a spectrum sharing model to take into account the impact of interference and evaluates the spectrum sharing requirements such as frequency separation and separation distance. This model considers three spectrum sharing scenarios: co-channel, zero guard band, and adjacent channel. A statistical analysis is performed, by considering the interferer spectrum emission mask and victim receiver blocking techniques. The interference-to-noise ratio is used as an essential spectrum sharing criterion between the systems. The model considers the random distribution of the users, antenna heights, and the bandwidth effect as well as the deployment environment in order to achieve spectrum sharing. The results show that LTE-A is preferable to WiMAX in terms of having less interference impact on DB; this can eventually allow the operation of both services without performance degradation and thus will lead to efficient utilization of the radio spectrum.

도심 환경에서 보호대역과 단말밀도를 이용한 주파수 공유성능 분석 (Analysis of Frequency Sharing Performance using Guard Band and User Device Density in a Urban Environment)

  • 조주필
    • 한국정보통신학회논문지
    • /
    • 제16권9호
    • /
    • pp.1864-1869
    • /
    • 2012
  • TV 방송 대역(white space) 내의 인접채널 간 WLAN과 WiBro 단말의 공존 가능성 파악을 위한 핵심 지표들을 얻기 위해 간섭분석을 수행하였다. 이를 위해 두 시스템의 각각에 해당하는 방사 및 블로킹 마스크, 안테나 높이 및 이득, 전송 전력 및 대역폭, 송수신 링크에 대한 채널모델 등 다양한 전송 파라미터를 적용하였다. 또한 이를 기반으로 인접채널에서 동작 가능한 사용자의 허용가능 전송 전력 및 서비스 단말 개수, 보호대역 변화에 따른 성능에 대해 분석을 수행하였다. 도심 환경을 고려하기 위하여 간섭원인 WLAN과 피간섭원인 WiBro 단말 부분에 각각 Extended Hata SRD, Extended Hata 모델을 적용하였다. 이를 통해 인접채널에서 각 단말이 어떻게 공존할 수 있는지를 확인할 수 있었다.

Novel structure for a full-color AMOLED using a blue common layer (BCL)

  • Kim, Mu-Hyun;Chin, Byung-Doo;Suh, Min-Chul;Yang, Nam-Chul;Song, Myung-Won;Lee, Jae-Ho;Kang, Tae-Min;Lee, Seong-Taek;Kim, Hye-Dong;Park, Kang-Sung;Oh, Jun-Sik;Chung, Ho-Kyoon
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2005년도 International Meeting on Information Displayvol.I
    • /
    • pp.797-798
    • /
    • 2005
  • We report a novel structure for a full-color AMOLED (Active Matrix Organic Light Emitting Diode) eliminating the patterning process of a blue emitting layer. The patterning of the three primary colors, RGB, is a key technology in the OLED fabrication process. Conventional full color AMOLED containing RGB layers includes the three opportunities of the defects to make an accurate position and fine resolution using various technologies such as fine metal mask, ink-jet printing and laser-induced transfer system. We can skip the blue patterning step by simply stacking the blue layer as a common layer to the whole active area after pixelizing two primary colors, RG, in the conventional small molecular OLED structure. The red and green pixel showed equivalent performances without any contribution of the blue emission.

  • PDF

Etch Characteristics of MgO Thin Films in Cl2/Ar, CH3OH/Ar, and CH4/Ar Plasmas

  • Lee, Il Hoon;Lee, Tea Young;Chung, Chee Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.387-387
    • /
    • 2013
  • Currently, the flash memory and the dynamic random access memory (DRAM) have been used in a variety of applications. However, the downsizing of devices and the increasing density of recording medias are now in progress. So there are many demands for development of new semiconductor memory for next generation. Magnetic random access memory (MRAM) is one of the prospective semiconductor memories with excellent features including non-volatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM is composed of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack consists of various magnetic materials, metals, and a tunneling barrier layer. Recently, MgO thin films have attracted a great attention as the prominent candidates for a tunneling barrier layer in the MTJ stack instead of the conventional Al2O3 films, because it has low Gibbs energy, low dielectric constant and high tunneling magnetoresistance value. For the successful etching of high density MRAM, the etching characteristics of MgO thin films as a tunneling barrier layer should be developed. In this study, the etch characteristics of MgO thin films have been investigated in various gas mixes using an inductively coupled plasma reactive ion etching (ICPRIE). The Cl2/Ar, CH3OH/Ar, and CH4/Ar gas mix were employed to find an optimized etching gas for MgO thin film etching. TiN thin films were employed as a hard mask to increase the etch selectivity. The etch rates were obtained using surface profilometer and etch profiles were observed by using the field emission scanning electron microscopy (FESEM).

  • PDF

Fabrication of Photo Sensitive Graphene Transistor Using Quantum Dot Coated Nano-Porous Graphene

  • 장야무진;이재현;최순형;임세윤;이종운;배윤경;황종승;황성우;황동목
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.658-658
    • /
    • 2013
  • Graphene is an attractive material for various device applications due to great electrical properties and chemical properties. However, lack of band gap is significant hurdle of graphene for future electrical device applications. In the past few years, several methods have been attempted to open and tune a band gap of graphene. For example, researchers try to fabricate graphene nanoribbon (GNR) using various templates or unzip the carbon nanotubes itself. However, these methods generate small driving currents or transconductances because of the large amount of scattering source at edge of GNRs. At 2009, Bai et al. introduced graphene nanomesh (GNM) structures which can open the band gap of large area graphene at room temperature with high current. However, this method is complex and only small area is possible. For practical applications, it needs more simple and large scale process. Herein, we introduce a photosensitive graphene device fabrication using CdSe QD coated nano-porous graphene (NPG). In our experiment, NPG was fabricated by thin film anodic aluminum oxide (AAO) film as an etching mask. First of all, we transfer the AAO on the graphene. And then, we etch the graphene using O2 reactive ion etching (RIE). Finally, we fabricate graphene device thorough photolithography process. We can control the length of NPG neckwidth from AAO pore widening time and RIE etching time. And we can increase size of NPG as large as 2 $cm^2$. Thin CdSe QD layer was deposited by spin coatingprocess. We carried out NPG structure by using field emission scanning electron microscopy (FE-SEM). And device measurements were done by Keithley 4200 SCS with 532 nm laser beam (5 mW) irradiation.

  • PDF

실내 TVWS 무선통신을 위한 송신 전력 설정 실험에 관한 연구 (A Study on Experiment of Transmission Power Assignment for Indoor TVWS Wireless Communication System)

  • 윤덕원;장형민;이원철
    • 한국통신학회논문지
    • /
    • 제38B권10호
    • /
    • pp.851-860
    • /
    • 2013
  • 전 세계적으로 디지털 TV 방송 서비스로의 전환에 대해 미래의 주파수 부족을 해결하기 위한 방안으로 우수한 전파 특성을 가진 TV 화이트 스페이스(White Space)에 대한 관심이 미국과 유럽을 중심으로 높아지고 있다. 본 논문에서는 이러한 실내 환경에서 TV 화이트 스페이스 대역을 이용하는 TVBD(TV Band Device)의 송신기가 DTV(Digital TV) 수신기에 미치는 간섭에 대한 실측 실험을 수행하였으며, 이와 병행하여 SEAMCAT툴을 이용한 몬테카를로 모의실험을 통해 통계적 간섭확률을 산출함으로써 실측 실험 결과와 비교분석을 수행하였다. 본 실측실험 및 모의실험 과정에서 주어진 DTV 수신환경과 각각의 송신 파라미터들은 FCC에서 규정한 TVBD 송신 규격에 준하여 설정하였다. 이를 기반으로 실내 환경에서 DTV 수신기와 TVBD 송신기 간의 거리에 따른 최적 공존을 위한 TVBD 송신 전력을 산출하였으며, 결론적으로 두 시스템이 상호 양립 가능한 기술기준을 확인하였다.

미세플라스틱 배출원에 대한 초등예비교사들의 인식 조사 (The Investigation of Pre-Service Elementary Teachers' Awareness on the Sources of Microplastics)

  • 전경문
    • 과학교육연구지
    • /
    • 제46권3호
    • /
    • pp.223-236
    • /
    • 2022
  • 이 연구의 목적은 초등학교 예비교사들을 대상으로 미세플라스틱 배출원에 대한 인식을 조사하는 것이다. 연구 참여자는 학부 남학생 75명과 여학생 91명이었다. 미세플라스틱 배출원에 관한 선행연구들을 참조하여 15문항으로 구성된 설문지를 개발한 후, 전문가 검토 및 예비연구를 통해 수정하였다. 설문 결과, 응답자의 80% 이상이 이전에 뉴스, 인터넷, TV 등을 통해 미세플라스틱에 대해 들어본 경험이 있다고 하였다. 그러나 실험복, 물티슈, 황사용마스크, 종이컵 등이 미세플라스틱 원인물질로 제조된 것을 인지하지 못하는 경향이 있었다. 미세플라스틱 오염이 예상되는 상황을 묻는 설문에서도 '달리는 자동차의 타이어가 마모된다'와 '바닥에 붙어있는 껌이 작아진다'에 대한 선택 빈도가 상대적으로 낮았다. 이러한 결과는 상당수 예비교사들이 합성섬유나 합성고무가 미세플라스틱의 배출원임을 인지하지 못한다는 것을 보여준다. 미세플라스틱 문제에 대한 태도에서는 성별 차이가 나타났다. 즉, 여 예비교사들은 관련 문제에 더 관심을 보이고, 해결에 대한 참여 의지를 더 보이며, 미세플라스틱 관련 교육의 필요성을 더욱 절감하였다. 향후 과학교육을 위한 함의를 논의하였다.

디지털 초협대역 단말기용 CFL 선형화 칩 설계 (Design of CFL Linearisation Chip for the Mobile Radio Using Ultra-Narrowband Digital Modulation)

  • 정영준;강민수;유성진;정태진;오승엽
    • 한국전자파학회논문지
    • /
    • 제16권7호
    • /
    • pp.671-680
    • /
    • 2005
  • CQPSK(Compatible QPSK) 디지털 변조 기술을 이용하는 초협대역 단말기용 송신기에 가장 핵심적인 부품들 중의 하나인 카테지안 궤환 루프(CFL: Cartesian Feedback Loop) 선형화 칩을 $0.35{\mu}m$ CMOS 기술을 이용하여 설계 및 제작하였다. 직접 변환 방식 및 CFL칩을 이용하여 요구되는 부품 수를 줄임에 의하여 송신기의 저비용 및 소형화가 가능하고, 이를 통하여 송신 전력 효율 및 선형성을 향상시켰다. 또한 CMOS기술을 통하여 저전력 구동이 가능하도록 하였다. 송신 성능 시험 결과 PEP 37 dBm(5 W)의 출력 전력에서 CFL 칩을 구동하여 -25 dBc의 상호 변조 왜곡(@ 3 kHz주파수 오프셋) 개선을 통하여 FCC 47 CFR 90.210 E에 정의된 방사 마스크 규격을 만족함을 확인하였다. 또한 상기 언급된 송신 특성 개선에 가장 영 향을 미치는 성분들인 DC-offset 성분, 궤환 루프에서 발생하는 왜곡 성분을 보상하기 위한 루프 이득 및 위상 값들을 조정할 수 있도록 컴퓨터와의 외부 인터페이스를 구현하여 소프트웨어적으로 이러한 값들을 제어할 수 있도록 프로그램화 하였다.

Fabrication of Microwire Arrays for Enhanced Light Trapping Efficiency Using Deep Reactive Ion Etching

  • 황인찬;서관용
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.454-454
    • /
    • 2014
  • Silicon microwire array is one of the promising platforms as a means for developing highly efficient solar cells thanks to the enhanced light trapping efficiency. Among the various fabrication methods of microstructures, deep reactive ion etching (DRIE) process has been extensively used in fabrication of high aspect ratio microwire arrays. In this presentation, we show precisely controlled Si microwire arrays by tuning the DRIE process conditions. A periodic microdisk arrays were patterned on 4-inch Si wafer (p-type, $1{\sim}10{\Omega}cm$) using photolithography. After developing the pattern, 150-nm-thick Al was deposited and lifted-off to leave Al microdisk arrays on the starting Si wafer. Periodic Al microdisk arrays (diameter of $2{\mu}m$ and periodic distance of $2{\mu}m$) were used as an etch mask. A DRIE process (Tegal 200) is used for anisotropic deep silicon etching at room temperature. During the process, $SF_6$ and $C_4F_8$ gases were used for the etching and surface passivation, respectively. The length and shape of microwire arrays were controlled by etching time and $SF_6/C_4F_8$ ratio. By adjusting $SF_6/C_4F_8$ gas ratio, the shape of Si microwire can be controlled, resulting in the formation of tapered or vertical microwires. After DRIE process, the residual polymer and etching damage on the surface of the microwires were removed using piranha solution ($H_2SO_4:H_2O_2=4:1$) followed by thermal oxidation ($900^{\circ}C$, 40 min). The oxide layer formed through the thermal oxidation was etched by diluted hydrofluoric acid (1 wt% HF). The surface morphology of a Si microwire arrays was characterized by field-emission scanning electron microscopy (FE-SEM, Hitachi S-4800). Optical reflection measurements were performed over 300~1100 nm wavelengths using a UV-Vis/NIR spectrophotometer (Cary 5000, Agilent) in which a 60 mm integrating sphere (Labsphere) is equipped to account for total light (diffuse and specular) reflected from the samples. The total reflection by the microwire arrays sample was reduced from 20 % to 10 % of the incident light over the visible region when the length of the microwire was increased from $10{\mu}m$ to $30{\mu}m$.

  • PDF