• Title/Summary/Keyword: Deposition Process

Search Result 2,750, Processing Time 0.04 seconds

Structure and Properties of Hemispherical Grain LPCVD Polycrystalline Silicon Films (반구형 LPCVD 다결정 실리콘 박막의 구조 및 특성)

  • Park, Yeong-Jin;Jeon, Ha-Eung;Lee, Seung-Seok;Lee, Seok-Hui;U, Sang-Ho;Kim, Jong-Cheol;Park, Heon-Seop;Cheon, Hui-Gon;O, Gye-Hwan
    • Korean Journal of Materials Research
    • /
    • v.1 no.2
    • /
    • pp.77-85
    • /
    • 1991
  • In this study we have investigated surface morphologies of as-deposited silicon films on the various deposition conditions using LPCVD(Low Pressure Chemical Vapor Deposition) System. The processing conditions such as deposition temperature, pressure and flow rate of $SiH_4$ gas were found to determine the surface morphology. The optimum temperature of maximum effective surface area increased with increasing the deposition pressure and the flow rate of $SiH_4$ gas, These experimental results were also in quite good agreement with the equation derived under the assumption that the maximum effective surface area is obtained on the condition of maximum nucleation rate.

  • PDF

Three-dimensional analysis of the thermophoretic particle deposition in the OVD process (외부증착공정에서의 열영동에 의한 입자부착에 관한 3차원 해석)

  • Hong, Gi-Hyeok;Gang, Sin-Hyeong
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.21 no.3
    • /
    • pp.436-444
    • /
    • 1997
  • Three-dimensional conjugate heat transfer and particle deposition on a circular cylinder in the OVD process are numerically investigated. Flow and temperature fields are obtained by an iterative method, and thermophoretic particle deposition is simulated. Effects of the heat conduction in the cylinder, the rotation speed of the cylinder, and the traversing speed of torch on the deposition are studied. Effects of variable properties are also included. As the conductivity of the cylinder decreases, particle deposition rate and deposition efficiency greatly decrease due to the reduced temperature gradient. The rotation of the cylinder has no significant effect on the deposition due to the small diameter of the cylinder and low speed of rotation. Since the increase of the torch speed keeps the surface low temperature, the particle deposition increases with the traversing speed.

Deposition Characteristics and Mechanical Properties of Stainless Steel 316L Fabricated via Directed Energy Deposition (에너지 제어 용착을 이용한 스테인리스 316L의 적층 특성 및 기계적 물성 평가)

  • Yang, Seung-weon;Lee, Hyub;Shim, Do-Sik
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.20 no.6
    • /
    • pp.59-69
    • /
    • 2021
  • Directed energy deposition (DED) is an additive manufacturing technology involving a focused high-power laser or electron beam propagating over the substrate, resulting in melt pool formation while simultaneously supplying metal powder to the melt pool area to deposit the material. DED is performed to repair and strengthen parts in various applications, as it can be easily integrate local area cladding and cross-material deposition. In this study, we characterize stainless steel 316 L parts fabricated via DED based on various deposition conditions and geometries to widen the application of DED. The deposition characteristics are investigated by varying the laser power and powder feed rate. Multilayer deposition with a laser power of 362 W and a powder feed rate of 6.61 g/min indicate a height closest to the design value while affording high surface quality. The microhardness of the specimen increases from the top to the bottom of the deposited area. Tensile tests of specimens with two different deposition directions indicate that horizontally long specimens with respect to a substrate demonstrate a higher ultimate tensile strength and yield strength than vertically long specimens with lower elongation.

Low Temperature Encapsulation-Layer Fabrication of Organic-Inorganic Hybrid Thin Film by Atomic Layer Deposition-Molecular Layer Deposition

  • Kim, Se-Jun;Kim, Hong-Beom;Seong, Myeong-Mo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.274-274
    • /
    • 2013
  • We fabricate encapsulation-layer of OLED panel from organic-inorganic hybrid thin film by atomic layer deposition (ALD) molecular layer deposition (MLD) using Al2O3 as ALD process and Adipoyl Chloride (AC) and 1,4-Butanediamine as MLD process. Ellipsometry was employed to verify self-limiting reaction of MLD. Linear relationship between number of cycle and thickness was obtained. By such investigation, we found that desirable organic thin film fabrication is possible by MLD surface reaction in monolayer scale. Purging was carried out after dosing of each precursor to eliminate physically adsorbed precursor with surface. We also confirmed roughness of the organic thin film by atomic force microscopy (AFM). We deposit AC and 1,4-Butanediamine at $70^{\circ}C$ and investigated surface roughness as a function of increasing thickness of organic thin film. We confirmed precursor's functional group by IR spectrum. We calculated WVTR of organic-inorganic hybrid super-lattice epitaxial layer using Ca test. WVTR indicates super-lattice film can be possibly use as encapsulation in flexible devices.

  • PDF

Effects of Inner Jet Injection on Particle Deposition in the Annular Modified Chemical Vapor Deposition Process Using Concentric Tubes (환상형원관을 사용하는 수정된 화학증착(MCVD)방법에서 내부 제트분사가 입자부착에 미치는 영향)

  • 최만수;박경순
    • Transactions of the Korean Society of Mechanical Engineers
    • /
    • v.18 no.1
    • /
    • pp.212-222
    • /
    • 1994
  • In the annular Modified Chemical Vapor Deposition process using two concentric tubes, the inner tube is heated to maintain high temperature gradients to have high thermophoretic force which can increase particle deposition efficiency. However, higher axial velocity in a narrow gap between inner and outer tubes can result in a longer tapered entry length. In the present paper, a new concept using an annular jet from the inner tube is presented and shown to significantly reduce the tapered entry length with maintaining high efficiency. Effects of a jet injection on heat transfer, fluid flow and particle deposition have been studied. Of particular interests are the effects of jet velocity, jet location and temperature on the deposition efficiency and tapered length . Torch heating effects from both the previous and present passes are included and the effect of surface radiation between inner and outer tubes is also considered.

Study of Magnetic Field Shielded Sputtering Process as a Room Temperature High Quality ITO Thin Film Deposition Process

  • Lee, Jun-Young;Jang, Yun-Sung;Lee, You-Jong;Hong, Mun-Pyo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.288-289
    • /
    • 2011
  • Indium Tin Oxide (ITO) is a typical highly Transparent Conductive Oxide (TCO) currently used as a transparent electrode material. Most widely used deposition method is the sputtering process for ITO film deposition because it has a high deposition rate, allows accurate control of the film thickness and easy deposition process and high electrical/optical properties. However, to apply high quality ITO thin film in a flexible microelectronic device using a plastic substrate, conventional DC magnetron sputtering (DMS) processed ITO thin film is not suitable because it needs a high temperature thermal annealing process to obtain high optical transmittance and low resistivity, while the generally plastic substrates has low glass transition temperatures. In the room temperature sputtering process, the electrical property degradation of ITO thin film is caused by negative oxygen ions effect. This high energy negative oxygen ions(about over 100eV) can be critical physical bombardment damages against the formation of the ITO thin film, and this damage does not recover in the room temperature process that does not offer thermal annealing. Hence new ITO deposition process that can provide the high electrical/optical properties of the ITO film at room temperature is needed. To solve these limitations we develop the Magnetic Field Shielded Sputtering (MFSS) system. The MFSS is based on DMS and it has the plasma limiter, which compose the permanent magnet array (Fig.1). During the ITO thin film deposition in the MFSS process, the electrons in the plasma are trapped by the magnetic field at the plasma limiters. The plasma limiter, which has a negative potential in the MFSS process, prevents to the damage by negative oxygen ions bombardment, and increases the heat(-) up effect by the Ar ions in the bulk plasma. Fig. 2. shows the electrical properties of the MFSS ITO thin film and DMS ITO thin film at room temperature. With the increase of the sputtering pressure, the resistivity of DMS ITO increases. On the other hand, the resistivity of the MFSS ITO slightly increases and becomes lower than that of the DMS ITO at all sputtering pressures. The lowest resistivity of the DMS ITO is $1.0{\times}10-3{\Omega}{\cdot}cm$ and that of the MFSS ITO is $4.5{\times}10-4{\Omega}{\cdot}cm$. This resistivity difference is caused by the carrier mobility. The carrier mobility of the MFSS ITO is 40 $cm^2/V{\cdot}s$, which is significantly higher than that of the DMS ITO (10 $cm^2/V{\cdot}s$). The low resistivity and high carrier mobility of the MFSS ITO are due to the magnetic field shielded effect. In addition, although not shown in this paper, the roughness of the MFSS ITO thin film is lower than that of the DMS ITO thin film, and TEM, XRD and XPS analysis of the MFSS ITO show the nano-crystalline structure. As a result, the MFSS process can effectively prevent to the high energy negative oxygen ions bombardment and supply activation energies by accelerating Ar ions in the plasma; therefore, high quality ITO can be deposited at room temperature.

  • PDF

Thermodynamic analysis of the deposition process of SiC/C functionally gradient materials by CVD technique (CVD법을 이용한 SiC/C경사기능재료 증착공정의 열역학적 해석)

  • 박진호;이준호;신희섭;김유택
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.12 no.2
    • /
    • pp.101-109
    • /
    • 2002
  • A complex chemical equilibrium analysis was performed to study the hot-wall CVD process of the SiC/C functionally gradient materials (FGM). Thermochemical calculations of the Si-C-H-Cl system were carried out, and the effects of process variables(deposition temperature, reactor pressure, C/[Si+C] and H/[Si+C] ratios in the source gas) on the composition of deposited layers and the deposition yield were investigated. The CVD phase diagrams of the SiC/C FGM deposition were obtained, and the optimum process windows were estimated from the results.

The Characteristics of Plasma Polymerized Carbon Hardmask Film Prepared by Plasma Deposition Systems with the Variation of Temperature

  • Yang, J.;Ban, W.;Kim, S.;Kim, J.;Park, K.;Hur, G.;Jung, D.;Lee, J.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.381.1-381.1
    • /
    • 2014
  • In this study, we investigated the deposition behavior and the etch resistivity of plasma polymerized carbon hardmask (ppCHM) film with the variation of process temperature. The etch resistivity of deposited ppCHM film was analyzed by thickness measurement before and after direct contact reactive ion etching process. The physical and chemical properties of films were characterized on the Fourier transform infrared (FT-IR) spectroscope, Raman spectroscope, stress gauge, and ellipsometry. The deposition behavior of ppCHM process with the variation of temperature was correlated refractive index (n), extinction coefficient (k), intrinsic stress (MPa), and deposition rate (A/s) with the hydrocarbon concentration, graphite (G) and disordered (D) peak by analyzing the Raman and FT-IR spectrum. From this experiment we knew an optimal deposition condition for structure of carbon hardmask with the higher etch selectivity to oxide. It was shown the density of ppCHM film had 1.6~1.9 g/cm3 and its refractive index was 1.8~1.9 at process temperature, $300{\sim}600^{\circ}C$. The etch selectivity of ppCHM film was shown about 1:4~1:8 to undoped siliconoxide (USG) film (etch rate, 1300 A/min).

  • PDF

A Study on Surface and Cross-section Properties Depending on the Process Parameters of Laser Depositions with Metal Powders (SUS316L and IN718) (공정 파라미터에 따른 금속분말(SUS316L, IN718) 레이저 적층 표면 및 단면 특성 분석)

  • Hwang, JunHo;Shin, SeongSeon;Lee, JongHoon;Kim, SungWook;Kim, HyunDeok
    • Journal of Welding and Joining
    • /
    • v.35 no.3
    • /
    • pp.28-34
    • /
    • 2017
  • The authors derived the criteria on the process parameters of laser depositions with metal powers(SUS316L & IN718) by evaluating the surface and cross-section properties of the deposition layers. The surface characteristics of the deposition layer are investigated through optical microscopy by controlling the process parameters of laser output, powder feeding rate and gas feeding rate. The cross-section characteristics were also analyzed after polishing and chemical etching process. As the gas feeding rate increased, the amount of powder loss increased and the difference in the dilution ratio and heat affected zone depending on laser outputs was observed. In addition, the powder feeding rate used in the experiment did not interfere with the energy absorption of the base material.

In-Situ Optical Monitoring of Electrochemical Copper Deposition Process for Semiconductor Interconnection Technology

  • Hong, Sang-Jeen;Wang, Li;Seo, Dong-Sun;Yoon, Tae-Sik
    • Transactions on Electrical and Electronic Materials
    • /
    • v.13 no.2
    • /
    • pp.78-84
    • /
    • 2012
  • An in-situ optical monitoring method for real-time process monitoring of electrochemical copper deposition (CED) is presented. Process variables to be controlled in achieving desired process results are numerous in the CED process, and the importance of the chemical bath conditions cannot be overemphasized for a successful process. Conventional monitoring of the chemical solution for CED relies on the pH value of the solution, electrical voltage level for the reduction of metal cations, and gravity measurement by immersing sensors into a plating bath. We propose a nonintrusive optical monitoring technique using three types of optical sensors such as chromatic sensors and UV/VIS spectroscopy sensors as potential candidates as a feasible optical monitoring method. By monitoring the color of the plating solution in the bath, we revealed that optically acquired information is strongly related to the thickness of the deposited copper on the wafers, and that the chromatic information is inversely proportional to the ratio of $Cu$ (111) and {$Cu$ (111)+$Cu$ (200)}, which can used to measure the quality of the chemical solution for electrochemical copper deposition in advanced interconnection technology.