• 제목/요약/키워드: Delay Variation

검색결과 503건 처리시간 0.028초

패킷트레인 방식의 수중 동기화 프로토콜 설계 (Synchronizing to Packet Trains for Underwater Acoustic Networks)

  • 조아라
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국정보통신학회 2017년도 춘계학술대회
    • /
    • pp.621-624
    • /
    • 2017
  • 본 논문에서는 수중음향 네트워크에서 긴 전파지연 시간, 낮은 전송속도, 높은 패킷 손실율 등과 같은 제약 환경을 고려한 패킷트레인방식의 동기화 프로토콜을 제안한다. 제안되는 동기화프로토콜은 단방향 전파지연측정방식을 적용하며 연속해서 전송된 패킷의 수신시각 차이를 통해 전파지연 시간 추정에 의한 오류 영향을 최소화한다. 제안한 동기화 프로토콜은 노드 간 통신거리, 메시지 전송횟수에 따른 시뮬레이션을 통해 기존 프로토콜과의 성능을 비교하고, 제안한 동기화 프로토콜의 우수성을 보인다.

  • PDF

컴퓨터 그래픽 시뮬레이션을 이용한 감독자(監督者) 제어(制御)에 관한 연구(硏究) (A Study on the Supervisory Control System Using Computer Graphic Simulation)

  • 이순요;심선모
    • 대한인간공학회지
    • /
    • 제4권2호
    • /
    • pp.11-15
    • /
    • 1985
  • Nowadays, there are many situations which the conventional control system can't be applied any more (e.g. nuclear waste disposal sites, radioactive laboratories, the deep ocean and the vacuum of the outer space). New control system must be considered such as supervisory control system in those environment. Using computer graphic robot simulation, supervisory control which can cope with this situation is compared to manual control. As a performance measure of these comparisons, task time and task error are used. And task difficulties and time delay are considered as a variation factor. According to the result of this study, supervisory control is superior to manual control generally. Especially in the situation including task difficulties and time delay, the superiority is much greater.

  • PDF

개인통신망 설계를 위한 최소 비용 경로 (Minimum Cost Path for Private Network Design)

  • 최홍식;이주영
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제26권11호
    • /
    • pp.1373-1381
    • /
    • 1999
  • 이 논문에서는 통신망 설계 응용분야의 문제를 그래프 이론 문제로써 고려해 보았다. 개별 기업체가 서로 떨어진 두 곳을 연결하고자 할 때 공용통신망의 회선을 빌려 통신망을 구축하게 되는데 많은 경우 여러 종류의 회선들이 공급됨으로 어떤 회선을 선택하느냐의 문제가 생긴다. 일반적으로 빠른 회선(low delay)은 느린 회선(high delay)에 비해 비싸다. 그러나 서비스의 질(Quality of Service)이라는 요구사항이 종종 종단지연(end-to-end delay)시간에 의해 결정되므로, 무조건 낮은 가격의 회선만을 사용할 수는 없다. 결국 개별 기업체의 통신망을 위한 통로를 공용 통신망 위에 덮어씌워(overlaying) 구축하는 것의 여부는 두 개의 상반된 인자인 가격과 속도의 조절에 달려 있다. 따라서 일반적인 최소경로 찾기의 변형이라 할 수 있는 다음의 문제가 본 논문의 관심사이다. 두 개의 지점을 연결하는데 종단지연시간의 한계를 만족하면서 최소경비를 갖는 경로에 대한 해결을 위하여, 그래프 채색(coloring) 문제와 최단경로문제를 함께 포함하는 그래프 이론의 문제로 정형화시켜 살펴본다. 배낭문제로의 변환을 통해 이 문제는 {{{{NP-complete임을 증명하였고 {{{{O($\mid$E$\mid$D_0 )시간에 최적값을 주는 의사선형 알고리즘과O($\mid$E$\mid$)시간의 근사 알고리즘을 보였다. 특별한 경우에 대한 {{{{O($\mid$V$\mid$ + $\mid$E$\mid$)시간과 {{{{O($\mid$E$\mid$^2 + $\mid$E$\mid$$\mid$V$\mid$log$\mid$V$\mid$)시간 알고리즘을 보였으며 배낭 문제의 해결책과 유사한 그리디 휴리스틱(greedy heuristic) 알고리즘이 그물 구조(mesh) 그래프 상에서 좋은 결과를 보여주고 있음을 실험을 통해 확인해 보았다.Abstract This paper considers a graph-theoretic problem motivated by a telecommunication network optimization. When a private organization wishes to connect two sites by leasing physical lines from a public telecommunications network, it is often the cases that several categories of lines are available, at different costs. Typically a faster (low delay) lines costs more than a slower (high delay) line. However, low cost lines cannot be used exclusively because the Quality of Service (QoS) requirements often impose a bound on the end-to-end delay. Therefore, overlaying a path on the public network involves two diametrically opposing factors: cost and delay. The following variation of the standard shortest path problem is thus of interest: the shortest route between the two sites that meets a given bound on the end-to-end delay. For this problem we formulate a graph-theoretical problem that has both a shortest path component as well as coloring component. Interestingly, the problem could be formulated as a knapsack problem. We have shown that the general problem is NP-complete. The optimal polynomial-time algorithms for some special cases and one heuristic algorithm for the general problem are described.

Generation of Ionospheric Delay in Time Comparison for a Specific GEO Satellite by Using Bernese Software

  • Jeong, Kwang Seob;Lee, Young Kyu;Yang, Sung Hoon;Hwang, Sang-wook;Kim, Sanhae;Song, Kyu-Ha;Lee, Wonjin;Ko, Jae Heon
    • Journal of Positioning, Navigation, and Timing
    • /
    • 제6권3호
    • /
    • pp.125-133
    • /
    • 2017
  • Time comparison is necessary for the verification and synchronization of the clock. Two-way satellite time and frequency (TWSTFT) is a method for time comparison over long distances. This method includes errors such as atmospheric effects, satellite motion, and environmental conditions. Ionospheric delay is one of the significant time comparison error in case of the carrier-phase TWSTFT (TWCP). Global Ionosphere Map (GIM) from Center for Orbit Determination in Europe (CODE) is used to compare with Bernese. Thin shell model of the ionosphere is used for the calculation of the Ionosphere Pierce Point (IPP) between stations and a GEO satellite. Korea Research Institute of Standards and Science (KRISS) and Koganei (KGNI) stations are used, and the analysis is conducted at 29 January 2017. Vertical Total Electron Content (VTEC) which is generated by Bernese at the latitude and longitude of the receiver by processing a Receiver Independent Exchange (RINEX) observation file that is generated from the receiver has demonstrated adequacy by showing similar variation trends with the CODE GIM. Bernese also has showed the capability to produce high resolution IONosphere map EXchange (IONEX) data compared to the CODE GIM. At each station IPP, VTEC difference in two stations showed absolute maximum 3.3 and 2.3 Total Electron Content Unit (TECU) in Bernese and GIM, respectively. The ionospheric delay of the TWCP has showed maximum 5.69 and 2.54 ps from Bernese and CODE GIM, respectively. Bernese could correct up to 6.29 ps in ionospheric delay rather than using CODE GIM. The peak-to-peak value of the ionospheric delay for TWCP in Bernese is about 10 ps, and this has to be eliminated to get high precision TWCP results. The $10^{-16}$ level uncertainty of atomic clock corresponds to 10 ps for 1 day averaging time, so time synchronization performance needs less than 10 ps. Current time synchronization of a satellite and ground station is about 2 ns level, but the smaller required performance, like less than 1 ns, the better. In this perspective, since the ionospheric delay could exceed over 100 ps in a long baseline different from this short baseline case, the elimination of the ionospheric delay is thought to be important for more high precision time synchronization of a satellite and ground station. This paper showed detailed method how to eliminate ionospheric delay for TWCP, and a specific case is applied by using this technique. Anyone could apply this method to establish high precision TWCP capability, and it is possible to use other software such as GIPSYOASIS and GPSTk. This TWCP could be applied in the high precision atomic clocks and used in the ground stations of the future domestic satellite navigation system.

유역 유출과정과 지하수위 변동을 고려한 분포형 지하수 함양량 산정방안 (Estimation of Groundwater Recharge by Considering Runoff Process and Groundwater Level Variation in Watershed)

  • 정일문;김남원;이정우
    • 한국지하수토양환경학회지:지하수토양환경
    • /
    • 제12권5호
    • /
    • pp.19-32
    • /
    • 2007
  • 우리나라에서 대표적으로 사용되어 온 지하수 함양량 산정방법은 지하수 감수곡선에 의한 기저유출분리법, 유역내의 집중형 개념모형에 의한 물수지 분석, 그리고 지하수위 변동곡선법으로 대별된다. 지하수 함양량은 기후조건, 토지이용 그리고 수문지질학적 불균질성에 따르는 시공간적인 변동성을 나타내므로 전술한 방법들은 이같은 특성을 다루기에는 많은 제약을 가진다. 이 같은 단점을 극복하기 위해 본 연구에서는 통합 지표수-지하수 모형인 SWAT-MODFLOW로부터 얻어진 물수지 성분을 기초로 한 새로운 함양량 추정방법을 제시하고자 한다. 하천변에 위치한 지하수위는 하천흐름과 유사한 동적변화를 나타내는 반면 보다 상류부에 위치한 지하수위는 강수에 대하여 일정한 지체현상을 나타낸다. 이와 같은 지하수위 변동의 특징은 함양의 물리적 특성과 관련되므로 이 같은 현상을 설명하기 위해서는 토양층을 통과한 물이 대수층 함양에 도달하는데 걸리는 시간적 지체를 설명하는 것이 필요하다. SWAT 모형에서는 주어진 날에 대해 물이 토양층을 통과하여 대수층으로 함양되는 과정을 설명하기 위해 지수형태의 감쇠가중함수를 가진 단일 저수지 저류 모듈을 사용한다. 그런데 이 모듈은 지체시간이 긴 경우나 추정된 함양의 시계열이 지하수위 시계열과 잘 맞지 않는 제한 사항을 가지므로, 본 연구에서는 비포화대를 통과하는 시간 지체를 보다 현실적으로 반영할 수 있는 다단 저수지 저류 추적 모듈을 개발하여 SWAT모형에 탑재하였으며, 이 모듈내의 시간지체와 관련된 매개변수는 관측지하수위와 모의 함양량의 상관관계를 검토함으로써 최적화가 이루어지도록 하였다. 본 연구방법의 최종 단계는 모의 지하수위와 관측 지하수위, 그리고 관측 유역유출량과 모의 유출량을 검증함으로써 종결된다. 새롭게 제안된 방법을 우리나라 미호천 유역에 적용하여 지하수 함양량의 시공간적 분포를 추정하였는데 제시된 방법이 유역 모형의 효율성과 지하수위 변동법의 정확성이라는 장점을 모두 가진 방법이어서 추정된 일 함양량은 수리지질학적 불균질성, 기후조건, 토지이용과 토양층과 대수층의 거동까지 반영한 매우 개선된 값으로 판단된다.

큐 변화량을 이용한 적응식 AQM 성능 향상에 관한 연구 (A Study on Performance Improvement of Adaptive AQM Using the Variation of Queue Length)

  • 김종화;이기영
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2005년도 추계종합학술대회
    • /
    • pp.159-162
    • /
    • 2005
  • Random Early Detection (RED), one of the most well-known Active Queue Management (AQM), has been designed to substitute Tail Drop and is nowadays widely implemented in commercially available routers. RED algorithm provides high throughput and low delay as well as a solution of global synchronization. However RED is sensitive to parameters setting, so the performance of RED, significantly depends on the fixed parameters. To solve this problem, the Adaptive RED (ARED) algorithm is suggested by S. Floyd. But, ARED also uses fixed parameters like target-queue length; it is hard to respond to bursty traffic actively. In this paper, we proposed AQM algorithm based on the variation of current queue length in order to improve adaptability about burst traffic. We measured performance of proposed algorithm through a throughput, marking-drop rate and bias phenomenon. In experimentation, we raised a packet throughput as reduced packet drop rate, and we confirmed to reduce a bias phenomenon about bursty traffic.

  • PDF

TIME DELAYED CONTROLLER를 이용한 유압 시스템의 위치 제어

  • 진성무;현장환;이정오
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2001년도 추계학술대회 논문집
    • /
    • pp.204-208
    • /
    • 2001
  • Position control of the electro-hydraulic servo indexing system in a flexible forging machine was investigated Flexible forging machine forges an axial type workpiece in the radial direction as well as in the axial direction. The role of the indexing system is to rotate a workpiece fast and accurately to a desired position for continuous shaping. Since the inertia of a workpiece changes during each forging step, a control technique which is robust to inertia variation should be adopted to the position control of the workpiece. In this study, time delayed control technique is applied to the servo system. Time delayed control method does not depend on estimation of specific parameters. Rather, it depends on the direct estimation of a function representing the effect of uncertainties. Direct estimation is accomplished using time delay and the gathered information is used to cancel the unknown dynamics is accomplished using disturbances simultaneously. Experimental result show that the time delayed controller is robust to inertia variation of the load, and satisfactory performance on the sposition accuracy is obtained compared to the contentional feedback control.

OFDM시스템을 위한 적응 문턱값 설정방식의 심볼동기화 알고리듬 (A Symbol Synchronization Algorithm With an Adaptive Threshold Establishment Method For OFDM Systems)

  • Song, Dong-Ho;Joo, Chang-Bok
    • 대한전자공학회논문지TC
    • /
    • 제40권6호
    • /
    • pp.213-224
    • /
    • 2003
  • 제안된 알고리듬은 채널 잡음전력에 따라 적응적으로 문턱값 레벨을 결정하는 적응 문턱값 설정방식을 사용하여 채널특성에 관계없이 항상 최적의 문턱값을 설정한다. 또한, 이것은 그 추정성능이 다중경로채널에서의 전력지연 프로파일 변동에 대해 덜 민감하도록 만드는 특별하게 설계된 훈련심볼을 사용한다. 그 결과, 제안된 기법의 추정성능은 채널특성 변동에 대해 영향을 적게 받는다.

Voltage Optimization of Power Delivery Networks through Power Bump and TSV Placement in 3D ICs

  • Jang, Cheoljon;Chong, Jong-Wha
    • ETRI Journal
    • /
    • 제36권4호
    • /
    • pp.643-653
    • /
    • 2014
  • To reduce interconnect delay and power consumption while improving chip performance, a three-dimensional integrated circuit (3D IC) has been developed with die-stacking and through-silicon via (TSV) techniques. The power supply problem is one of the essential challenges in 3D IC design because IR-drop caused by insufficient supply voltage in a 3D chip reduces the chip performance. In particular, power bumps and TSVs are placed to minimize IR-drop in a 3D power delivery network. In this paper, we propose a design methodology for 3D power delivery networks to minimize the number of power bumps and TSVs with optimum mesh structure and distribute voltage variation more uniformly by shifting the locations of power bumps and TSVs while satisfying IR-drop constraint. Simulation results show that our method can reduce the voltage variation by 29.7% on average while reducing the number of power bumps and TSVs by 76.2% and 15.4%, respectively.

Immune Based 2-DOF PID Controller Design for Complex Process Control

  • Kim, Dong-Hwa
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 2002년도 ICCAS
    • /
    • pp.70.2-70
    • /
    • 2002
  • In the thermal power plant, it is difficult to maintain strict control of the steam temperature in order to avoid thermal stress, because of variation of the heating value according to the fuel source, the time delay of changes in main steam temperature versus changes in fuel flow rate, difficulty of control on the main steam temperature control and the reheater steam temperature control system owing to the dynamic response characteristics of changes in steam temperature and the reheater steam temperature, fluctuation of inner fluid water and steam flow rates widely during load-following operation. Up to the present time, the PID controller has been used to operate this system...

  • PDF