• Title/Summary/Keyword: Delay Variation

Search Result 503, Processing Time 0.029 seconds

Synchronizing to Packet Trains for Underwater Acoustic Networks (패킷트레인 방식의 수중 동기화 프로토콜 설계)

  • Cho, A-ra
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2017.05a
    • /
    • pp.621-624
    • /
    • 2017
  • In this paper, we propose a protocol synchronizing to packet trains in a underwater acoustic networks with limitations such as long propagation delay, low data rate, high packet loss. The proposed protocol employs an one-way communication scheme to calculate packet arrival time differences of consecutively transferred packets and minimizes uncertain variation effect of long propagation delay. Simulation results shows the superiority of our protocol, compared with a previously proposed one under the given network conditions.

  • PDF

A Study on the Supervisory Control System Using Computer Graphic Simulation (컴퓨터 그래픽 시뮬레이션을 이용한 감독자(監督者) 제어(制御)에 관한 연구(硏究))

  • Lee, Sun-Yo;Sim, Seon-Mo
    • Journal of the Ergonomics Society of Korea
    • /
    • v.4 no.2
    • /
    • pp.11-15
    • /
    • 1985
  • Nowadays, there are many situations which the conventional control system can't be applied any more (e.g. nuclear waste disposal sites, radioactive laboratories, the deep ocean and the vacuum of the outer space). New control system must be considered such as supervisory control system in those environment. Using computer graphic robot simulation, supervisory control which can cope with this situation is compared to manual control. As a performance measure of these comparisons, task time and task error are used. And task difficulties and time delay are considered as a variation factor. According to the result of this study, supervisory control is superior to manual control generally. Especially in the situation including task difficulties and time delay, the superiority is much greater.

  • PDF

Minimum Cost Path for Private Network Design (개인통신망 설계를 위한 최소 비용 경로)

  • Choe, Hong-Sik;Lee, Ju-Yeong
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.26 no.11
    • /
    • pp.1373-1381
    • /
    • 1999
  • 이 논문에서는 통신망 설계 응용분야의 문제를 그래프 이론 문제로써 고려해 보았다. 개별 기업체가 서로 떨어진 두 곳을 연결하고자 할 때 공용통신망의 회선을 빌려 통신망을 구축하게 되는데 많은 경우 여러 종류의 회선들이 공급됨으로 어떤 회선을 선택하느냐의 문제가 생긴다. 일반적으로 빠른 회선(low delay)은 느린 회선(high delay)에 비해 비싸다. 그러나 서비스의 질(Quality of Service)이라는 요구사항이 종종 종단지연(end-to-end delay)시간에 의해 결정되므로, 무조건 낮은 가격의 회선만을 사용할 수는 없다. 결국 개별 기업체의 통신망을 위한 통로를 공용 통신망 위에 덮어씌워(overlaying) 구축하는 것의 여부는 두 개의 상반된 인자인 가격과 속도의 조절에 달려 있다. 따라서 일반적인 최소경로 찾기의 변형이라 할 수 있는 다음의 문제가 본 논문의 관심사이다. 두 개의 지점을 연결하는데 종단지연시간의 한계를 만족하면서 최소경비를 갖는 경로에 대한 해결을 위하여, 그래프 채색(coloring) 문제와 최단경로문제를 함께 포함하는 그래프 이론의 문제로 정형화시켜 살펴본다. 배낭문제로의 변환을 통해 이 문제는 {{{{NP-complete임을 증명하였고 {{{{O($\mid$E$\mid$D_0 )시간에 최적값을 주는 의사선형 알고리즘과O($\mid$E$\mid$)시간의 근사 알고리즘을 보였다. 특별한 경우에 대한 {{{{O($\mid$V$\mid$ + $\mid$E$\mid$)시간과 {{{{O($\mid$E$\mid$^2 + $\mid$E$\mid$$\mid$V$\mid$log$\mid$V$\mid$)시간 알고리즘을 보였으며 배낭 문제의 해결책과 유사한 그리디 휴리스틱(greedy heuristic) 알고리즘이 그물 구조(mesh) 그래프 상에서 좋은 결과를 보여주고 있음을 실험을 통해 확인해 보았다.Abstract This paper considers a graph-theoretic problem motivated by a telecommunication network optimization. When a private organization wishes to connect two sites by leasing physical lines from a public telecommunications network, it is often the cases that several categories of lines are available, at different costs. Typically a faster (low delay) lines costs more than a slower (high delay) line. However, low cost lines cannot be used exclusively because the Quality of Service (QoS) requirements often impose a bound on the end-to-end delay. Therefore, overlaying a path on the public network involves two diametrically opposing factors: cost and delay. The following variation of the standard shortest path problem is thus of interest: the shortest route between the two sites that meets a given bound on the end-to-end delay. For this problem we formulate a graph-theoretical problem that has both a shortest path component as well as coloring component. Interestingly, the problem could be formulated as a knapsack problem. We have shown that the general problem is NP-complete. The optimal polynomial-time algorithms for some special cases and one heuristic algorithm for the general problem are described.

Generation of Ionospheric Delay in Time Comparison for a Specific GEO Satellite by Using Bernese Software

  • Jeong, Kwang Seob;Lee, Young Kyu;Yang, Sung Hoon;Hwang, Sang-wook;Kim, Sanhae;Song, Kyu-Ha;Lee, Wonjin;Ko, Jae Heon
    • Journal of Positioning, Navigation, and Timing
    • /
    • v.6 no.3
    • /
    • pp.125-133
    • /
    • 2017
  • Time comparison is necessary for the verification and synchronization of the clock. Two-way satellite time and frequency (TWSTFT) is a method for time comparison over long distances. This method includes errors such as atmospheric effects, satellite motion, and environmental conditions. Ionospheric delay is one of the significant time comparison error in case of the carrier-phase TWSTFT (TWCP). Global Ionosphere Map (GIM) from Center for Orbit Determination in Europe (CODE) is used to compare with Bernese. Thin shell model of the ionosphere is used for the calculation of the Ionosphere Pierce Point (IPP) between stations and a GEO satellite. Korea Research Institute of Standards and Science (KRISS) and Koganei (KGNI) stations are used, and the analysis is conducted at 29 January 2017. Vertical Total Electron Content (VTEC) which is generated by Bernese at the latitude and longitude of the receiver by processing a Receiver Independent Exchange (RINEX) observation file that is generated from the receiver has demonstrated adequacy by showing similar variation trends with the CODE GIM. Bernese also has showed the capability to produce high resolution IONosphere map EXchange (IONEX) data compared to the CODE GIM. At each station IPP, VTEC difference in two stations showed absolute maximum 3.3 and 2.3 Total Electron Content Unit (TECU) in Bernese and GIM, respectively. The ionospheric delay of the TWCP has showed maximum 5.69 and 2.54 ps from Bernese and CODE GIM, respectively. Bernese could correct up to 6.29 ps in ionospheric delay rather than using CODE GIM. The peak-to-peak value of the ionospheric delay for TWCP in Bernese is about 10 ps, and this has to be eliminated to get high precision TWCP results. The $10^{-16}$ level uncertainty of atomic clock corresponds to 10 ps for 1 day averaging time, so time synchronization performance needs less than 10 ps. Current time synchronization of a satellite and ground station is about 2 ns level, but the smaller required performance, like less than 1 ns, the better. In this perspective, since the ionospheric delay could exceed over 100 ps in a long baseline different from this short baseline case, the elimination of the ionospheric delay is thought to be important for more high precision time synchronization of a satellite and ground station. This paper showed detailed method how to eliminate ionospheric delay for TWCP, and a specific case is applied by using this technique. Anyone could apply this method to establish high precision TWCP capability, and it is possible to use other software such as GIPSYOASIS and GPSTk. This TWCP could be applied in the high precision atomic clocks and used in the ground stations of the future domestic satellite navigation system.

Estimation of Groundwater Recharge by Considering Runoff Process and Groundwater Level Variation in Watershed (유역 유출과정과 지하수위 변동을 고려한 분포형 지하수 함양량 산정방안)

  • Chung, Il-Moon;Kim, Nam-Won;Lee, Jeong-Woo
    • Journal of Soil and Groundwater Environment
    • /
    • v.12 no.5
    • /
    • pp.19-32
    • /
    • 2007
  • In Korea, there have been various methods of estimating groundwater recharge which generally can be subdivided into three types: baseflow separation method by means of groundwater recession curve, water budget analysis based on lumped conceptual model in watershed, and water table fluctuation method (WTF) by using the data from groundwater monitoring wells. However, groundwater recharge rate shows the spatial-temporal variability due to climatic condition, land use and hydrogeological heterogeneity, so these methods have various limits to deal with these characteristics. To overcome these limitations, we present a new method of estimating recharge based on water balance components from the SWAT-MODFLOW which is an integrated surface-ground water model. Groundwater levels in the interest area close to the stream have dynamics similar to stream flow, whereas levels further upslope respond to precipitation with a delay. As these behaviours are related to the physical process of recharge, it is needed to account for the time delay in aquifer recharge once the water exits the soil profile to represent these features. In SWAT, a single linear reservoir storage module with an exponential decay weighting function is used to compute the recharge from soil to aquifer on a given day. However, this module has some limitations expressing recharge variation when the delay time is too long and transient recharge trend does not match to the groundwater table time series, the multi-reservoir storage routing module which represents more realistic time delay through vadose zone is newly suggested in this study. In this module, the parameter related to the delay time should be optimized by checking the correlation between simulated recharge and observed groundwater levels. The final step of this procedure is to compare simulated groundwater table with observed one as well as to compare simulated watershed runoff with observed one. This method is applied to Mihocheon watershed in Korea for the purpose of testing the procedure of proper estimation of spatio-temporal groundwater recharge distribution. As the newly suggested method of estimating recharge has the advantages of effectiveness of watershed model as well as the accuracy of WTF method, the estimated daily recharge rate would be an advanced quantity reflecting the heterogeneity of hydrogeology, climatic condition, land use as well as physical behaviour of water in soil layers and aquifers.

A Study on Performance Improvement of Adaptive AQM Using the Variation of Queue Length (큐 변화량을 이용한 적응식 AQM 성능 향상에 관한 연구)

  • Kim, Jong-Hwa;Lee, Ki-Young
    • Proceedings of the IEEK Conference
    • /
    • 2005.11a
    • /
    • pp.159-162
    • /
    • 2005
  • Random Early Detection (RED), one of the most well-known Active Queue Management (AQM), has been designed to substitute Tail Drop and is nowadays widely implemented in commercially available routers. RED algorithm provides high throughput and low delay as well as a solution of global synchronization. However RED is sensitive to parameters setting, so the performance of RED, significantly depends on the fixed parameters. To solve this problem, the Adaptive RED (ARED) algorithm is suggested by S. Floyd. But, ARED also uses fixed parameters like target-queue length; it is hard to respond to bursty traffic actively. In this paper, we proposed AQM algorithm based on the variation of current queue length in order to improve adaptability about burst traffic. We measured performance of proposed algorithm through a throughput, marking-drop rate and bias phenomenon. In experimentation, we raised a packet throughput as reduced packet drop rate, and we confirmed to reduce a bias phenomenon about bursty traffic.

  • PDF

TIME DELAYED CONTROLLER를 이용한 유압 시스템의 위치 제어

  • 진성무;현장환;이정오
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.10a
    • /
    • pp.204-208
    • /
    • 2001
  • Position control of the electro-hydraulic servo indexing system in a flexible forging machine was investigated Flexible forging machine forges an axial type workpiece in the radial direction as well as in the axial direction. The role of the indexing system is to rotate a workpiece fast and accurately to a desired position for continuous shaping. Since the inertia of a workpiece changes during each forging step, a control technique which is robust to inertia variation should be adopted to the position control of the workpiece. In this study, time delayed control technique is applied to the servo system. Time delayed control method does not depend on estimation of specific parameters. Rather, it depends on the direct estimation of a function representing the effect of uncertainties. Direct estimation is accomplished using time delay and the gathered information is used to cancel the unknown dynamics is accomplished using disturbances simultaneously. Experimental result show that the time delayed controller is robust to inertia variation of the load, and satisfactory performance on the sposition accuracy is obtained compared to the contentional feedback control.

A Symbol Synchronization Algorithm With an Adaptive Threshold Establishment Method For OFDM Systems (OFDM시스템을 위한 적응 문턱값 설정방식의 심볼동기화 알고리듬)

  • Song, Dong-Ho;Joo, Chang-Bok
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.40 no.6
    • /
    • pp.213-224
    • /
    • 2003
  • The proposed algorithm can always set up the optimal threshold value regardless of channel characteristics using an adaptive threshold establishment method that determines the threshold level according to channel noise power, and then it uses the specially designed training symbols that can make the algorithm's estimation performance be less sensitive to power delay profile variation in a multipath channel. In result, the estimation performance of the proposed technique is less affected by channel characteristic variation.

Voltage Optimization of Power Delivery Networks through Power Bump and TSV Placement in 3D ICs

  • Jang, Cheoljon;Chong, Jong-Wha
    • ETRI Journal
    • /
    • v.36 no.4
    • /
    • pp.643-653
    • /
    • 2014
  • To reduce interconnect delay and power consumption while improving chip performance, a three-dimensional integrated circuit (3D IC) has been developed with die-stacking and through-silicon via (TSV) techniques. The power supply problem is one of the essential challenges in 3D IC design because IR-drop caused by insufficient supply voltage in a 3D chip reduces the chip performance. In particular, power bumps and TSVs are placed to minimize IR-drop in a 3D power delivery network. In this paper, we propose a design methodology for 3D power delivery networks to minimize the number of power bumps and TSVs with optimum mesh structure and distribute voltage variation more uniformly by shifting the locations of power bumps and TSVs while satisfying IR-drop constraint. Simulation results show that our method can reduce the voltage variation by 29.7% on average while reducing the number of power bumps and TSVs by 76.2% and 15.4%, respectively.

Immune Based 2-DOF PID Controller Design for Complex Process Control

  • Kim, Dong-Hwa
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 2002.10a
    • /
    • pp.70.2-70
    • /
    • 2002
  • In the thermal power plant, it is difficult to maintain strict control of the steam temperature in order to avoid thermal stress, because of variation of the heating value according to the fuel source, the time delay of changes in main steam temperature versus changes in fuel flow rate, difficulty of control on the main steam temperature control and the reheater steam temperature control system owing to the dynamic response characteristics of changes in steam temperature and the reheater steam temperature, fluctuation of inner fluid water and steam flow rates widely during load-following operation. Up to the present time, the PID controller has been used to operate this system...

  • PDF