• Title/Summary/Keyword: DC bias voltage

Search Result 274, Processing Time 0.03 seconds

Dry Etch Characteristic of Ferroelectric $YMnO_3$ Thin Films Using High Density $Ar/Cl_{2}CF_{4}\;PAr/Cl_{2}/CF_{4}$ 고밀도lasma ($Ar/Cl_{2}/CF_{4}$ 고밀도 플라즈마를 이용한 강유전체 $YMnO_3$의 건식식각 특성연구)

  • Park, Jae-Hwa;Kim, Chang-Il;Chang, Eui-Goo;Lee, Cheol-In;Lee, Byeong-Ki
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.213-216
    • /
    • 2001
  • Etching behaviors of ferroelectric $YMnO_3$ thin films were studied by an inductively coupled plasma (ICP). Etch characteristic on ferroelectric $YMnO_3$ thin film have been investigated in terms of etch rate, selectivity and etch profile. The maximum etch rate of $YMnO_3$ thin film is $300{\AA}/min$ at $Ar/Cl_2$ of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of $30^{\circ}C$. Addition of $CF_4$ gas decrease the etch rate of $YMnO_3$ thin film. From the results of XPS analysis, YFx compounds were found on the surface of $YMnO_3$ thin film which is etched in $Ar/Cl/CF_{4}$ plasma. The etch profile of $YMnO_3$ film is improved by addition of $CF_4$ gas into the $Ar/Cl_2$ plasma. These results suggest that fluoride yttrium acts as a sidewall passivants which reduce the sticking coefficient of chlorine on $YMnO_3$.

  • PDF

The Characteristics of (Ba,Sr)$TiO_3$ Thin Films Etched With The high Density $BCl_3/Cl_2$/Ar Plasma ($BCl_3/Cl_2$/Ar 고밀도 플라즈마에서 (Ba,Sr)$TiO_3$ 박막의 식각 특성에 관한 연구)

  • Kim, Seung-Bum;Kim, Chang-Il
    • Proceedings of the KIEE Conference
    • /
    • 1999.11d
    • /
    • pp.863-866
    • /
    • 1999
  • (Ba,Sr)$TiO_3$ thin films have attracted groat interest as new dielectric materials of capacitors for ultra-large-scale integrated dynamic random access memories (ULSI-DRAMs) such as 1 Gbit or 4 Gbit. In this study, inductively coupled $BCl_3/Cl_2$/Ar plasmas was used to etch (Ba,Sr)$TiO_3$ thin films. RF power/dc bias voltage = 600 W/-250 V and chamber pressure was 10 mTorr. The $Cl_2/(Cl_2+Ar)$ was fixed at 0.2, the (Ba,Sr)$TiO_3$ thin films were etched adding $BCl_3$. The highest (Ba,Sr)$TiO_3$ etch rate is 480$\AA/min$ at 10 % $BCl_3$ adding to $Cl_2$/Ar. The characteristics of the plasmas were estimated using optical emission spectroscopy (OES). The change of Cl, B radical density measured by OES as a function of $BCl_3$ percentage in $Cl_2$/Ar. The highest Cl radical density was shown at the addition of 10% $BCl_3$ to $Cl_2$/Ar. To study on the surface reaction of (Ba,Sr)$TiO_3$ thin films was investigated by XPS analysis. Ion enhancement etching is necessary to break Ba-O bond and to remove $BaCl_2$. There is a little chemical reaction between Sr and Cl, but Sr is removed by physical sputtering. There is a chemical reaction between Ti and Cl, and Tic14 is removed with ease. The cross-sectional of (Ba,Sr)$TiO_3$ thin film was investigated by scanning electron microscopy (SEM), the etch slope is about $65\;{\sim}\;70$.

  • PDF

Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films Using a $CH_4$/Ar Plasma

  • Lee, Hwa-Won;Kim, Eun-Ho;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.77-77
    • /
    • 2011
  • These days, a growing demand for memory device is filled up with the flash memory and the dynamic random access memory (DRAM). Although DRAM is a reasonable solution for current demand, the universal novel memory with high density, high speed and nonvolatility, needs to be developed. Among various new memories, the magnetic random access memory (MRAM) device is considered as one of good candidate memories because of excellent features including high density, high speed, low operating power and nonvolatility. The etching of MTJ stack which is composed of magnetic materials and insulator such as MgO is one of the vital process for MRAM. Recently, MgO has attracted great interest in the MTJ stack as tunneling barrier layer for its high tunneling magnetoresistance values. For the successful realization of high density MRAM, the etching process of MgO thin films should be investigated. Until now, there were some works devoted to the investigations on etch characteristics of MgO thin films. Initially, ion milling was applied to the etching of MgO thin films. However, ion milling has many disadvantages such as sidewall redeposition and etching damage. High density plasma etching containing the magnetically enhanced reactive ion etching and high density reactive ion etching have been employed for the improvement of etching process. In this work, inductively coupled plasma reactive ion etching (ICPRIE) system was adopted for the improvement of etching process using MgO thin films and etching gas mixes of $CH_4$/Ar and $CH_4$/$O_2$/Ar have been employed. The etch rates are measured by a surface profilometer and etch profiles are observed using field emission scanning emission microscopy (FESEM). The effects of gas concentration and etch parameters such as coil rf power, dc-bias voltage to substrate, and gas pressure on etch characteristics will be systematically explored.

  • PDF

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Effects of Etch Parameters on Etching of CoFeB Thin Films in $CH_4/O_2/Ar$ Mix

  • Lee, Tea-Young;Lee, Il-Hoon;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.390-390
    • /
    • 2012
  • Information technology industries has grown rapidly and demanded alternative memories for the next generation. The most popular random access memory, dynamic random-access memory (DRAM), has many advantages as a memory, but it could not meet the demands from the current of developed industries. One of highlighted alternative memories is magnetic random-access memory (MRAM). It has many advantages like low power consumption, huge storage, high operating speed, and non-volatile properties. MRAM consists of magnetic-tunnel-junction (MTJ) stack which is a key part of it and has various magnetic thin films like CoFeB, FePt, IrMn, and so on. Each magnetic thin film is difficult to be etched without any damages and react with chemical species in plasma. For improving the etching process, a high density plasma etching process was employed. Moreover, the previous etching gases were highly corrosive and dangerous. Therefore, the safety etching gases are needed to be developed. In this research, the etch characteristics of CoFeB magnetic thin films were studied by using an inductively coupled plasma reactive ion etching in $CH_4/O_2/Ar$ gas mixes. TiN thin films were used as a hardmask on CoFeB thin films. The concentrations of $O_2$ in $CH_4/O_2/Ar$ gas mix were varied, and then, the rf coil power, gas pressure, and dc-bias voltage. The etch rates and the selectivity were obtained by a surface profiler and the etch profiles were observed by a field emission scanning electron microscopy. X-ray photoelectron spectroscopy was employed to reveal the etch mechanism.

  • PDF

Etching characteristics of gold thin films using inductively coupled Ar/$CF_4/Cl_2$ plasma (Ar/$CF_4/Cl_2$ 유도 결합 플라즈마에 의한 gold 박막의 식각특성)

  • Kim, Nam-Kyu;Chang, Yun-Seong;Kim, Dong-Pyo;Kim, Chang-Il;Chang, Eui-Goo;Lee, Byeong-Ki
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.190-194
    • /
    • 2002
  • In this study, the etching of Au thin films have been performed in an inductively coupled CF4/Cl2/Ar plasma. The etch properties were measured as the CF4 adds from 0 % to 30 % to the Cl2/(Cl2 + Ar) gas mixing ratio of 0.2. Other parameters were fixed at a rf power of 700 W, a dc bias voltage of 150 V, a chamber pressure of 15 mTorr, and a substrate temperature of $30^{\circ}C$. The highest etch rate of the Au thin film was 370 nm/min at a 10 % additive CF4 into Cl2/(Cl2 + Ar) gas mixing ratio of 0.2. The surface reaction of the etched Au thin films was investigated using x-ray photoelectron spectroscopy (XPS) analysis. From x-ray photoelectron spectroscopy (XPS) analysis, the intensities of Au peaks are changed. There is a chemical reaction between Cl and Au. Au-Cl is hard to remove on the surface because of its high melting point and the etching products can be sputtered by Ar ion bombardment. We obtained the cleaned and steep profile.

  • PDF

Heat Spreading Properties of CVD Diamond Coated Al Heat Sink (CVD 다이아몬드가 코팅된 알루미늄 방열판의 방열 특성)

  • Yoon, Min Young;Im, Jong Hwan;Kang, Chan Hyoung
    • Journal of the Korean institute of surface engineering
    • /
    • v.48 no.6
    • /
    • pp.297-302
    • /
    • 2015
  • Nanocrystalline diamond(NCD) coated aluminium plates were prepared and applied as heat sinks for LED modules. NCD films were deposited on 1 mm thick Al plates for times of 2 - 10 h in a microwave plasma chemical vapor deposition reactor. Deposition parameters were the microwave power of 1.2 kW, the working pressure of 90 Torr, the $CH_4/Ar$ gas ratio of 2/200 sccm. In order to enhance diamond nucleation, DC bias voltage of -90 V was applied to the substrate during deposition without external heating. NCD film was identified by X-ray diffraction and Raman spectroscopy. The Al plates with about 300 nm thick NCD film were attached to LED modules and thermal analysis was carried out using Thermal Transient Tester (T3ster) in a still air box. Thermal resistance of the module with NCD/Al plate was 3.88 K/W while that with Al plate was 5.55 K/W. The smaller the thermal resistance, the better the heat emission. From structure function analysis, the differences between junction and ambient temperatures were $12.1^{\circ}C$ for NCD/Al plate and $15.5^{\circ}C$ for Al plate. The hot spot size of infrared images was larger on NCD/Al than Al plate for a given period of LED operation. In conclusion, NCD coated Al plate exhibited better thermal spreading performance than conventional Al heat sink.

Characterization of Via Etching in $CHF_3/CF_4$ Magnetically Enhanced Reactive Ion Etching Using Neural Networks

  • Kwon, Sung-Ku;Kwon, Kwang-Ho;Kim, Byung-Whan;Park, Jong-Moon;Yoo, Seong-Wook;Park, Kun-Sik;Bae, Yoon-Kyu;Kim, Bo-Woo
    • ETRI Journal
    • /
    • v.24 no.3
    • /
    • pp.211-220
    • /
    • 2002
  • This study characterizes an oxide etching process in a magnetically enhanced reactive ion etching (MERIE) reactor with a $CHF_3/CF_4$ gas chemistry. We use a statistical $2^{4-1}$ experimental design plus one center point to characterize the relationships between the process factors and etch responses. The factors that we varied in the design include RF power, pressure, and gas composition, and the modeled etch responses were the etch rate, etch selectivity to TiN, and uniformity. The developed models produced 3D response plots. Etching of $SiO_2$ mainly depends on F density and ion bombardment. $SiO_2$ etch selectivity to TiN sensitively depends on the F density in the plasma and the effects of ion bombardment. The process conditions for a high etch selectivity are a 0.3 to 0.5 $CF_4$ flow ratio and a -600 V to -650 V DC bias voltage according to the process pressure in our experiment. Etching uniformity was improved with an increase in the $CF_4$ flow ratio in the gas mixture, an increase in the source power, and a higher pressure. Our characterization of via etching in a $CHF_3/CF_4$ MERIE using neural networks was successful, economical, and effective. The results provide highly valuable information about etching mechanisms and optimum etching conditions.

  • PDF

Etch characteristics of TiN thin film adding $Cl_2$ in $BCl_3$/Ar Plasma ($BCl_3$/Ar 플라즈마에서 $Cl_2$ 첨가에 따른 TiN 박막의 식각 특성)

  • Um, Doo-Seung;Kang, Chan-Min;Yang, Xue;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.168-168
    • /
    • 2008
  • Dimension of a transistor has rapidly shrunk to increase the speed of device and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$, and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled $BCl_3$-based plasma system and adding $Cl_2$ gas. Dry etching of the TiN was studied by varying the etching parameters including $BCl_3$/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, and $Cl_2$ gas addition. The plasmas were characterized by optical emission spectroscopy analysis. Scanning electron microscopy was used to investigate the etching profile.

  • PDF