• 제목/요약/키워드: Capping layer

검색결과 137건 처리시간 0.025초

Photo reflectance Measurement in Si$_{3}$N$_{4}$/ Al$_{0.21}$Ga$_{0.79}$ As/GaAs Heterostructure

  • Yu Jae-In;Park Hun-Bo;Choi Sang-Su;Kim Ki-Hong;Baet In-Ho
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제5C권2호
    • /
    • pp.54-57
    • /
    • 2005
  • Photoreflectance (PR) has been measured to investigate the characterization of the Si$_{3}$N$_{4}$Al$_{0.21}$ Ga$_{0.79}$As/GaAs and Al$_{0.21}$Ga$_{0.79}$As/GaAs heterostructures. In the PR spectrum, the caplayer thickness was 170 nm and Si$_{3}$N$_{4}$ was utilized as the capping material. The C peak is confirmed as the carbon defect with residual impurity originating from the growth process. After annealing, in the presence of the Si$_{2}$N$_{4}$ cap layer, band gap energy was low shifted. This result indicates that the Si$_{3}$N$_{4}$ cap layer controlled evaporation of the As atom.

Terabit-per-square-inch Phase-change Recording on Ge-Sb-Te Media with Protective Overcoatings

  • Shin Jin-Koog;Lee Churl Seung;Suh Moon-Suk;Lee Kyoung-Il
    • 정보저장시스템학회:학술대회논문집
    • /
    • 정보저장시스템학회 2005년도 추계학술대회 논문집
    • /
    • pp.185-189
    • /
    • 2005
  • We reported here nano-scale electrical phase-change recording in amorphous $Ge_2Sb_2Te_5$ media using an atomic force microscope (AFM) having conducting probes. In recording process, a pulse voltage is applied to the conductive probe that touches the media surface to change locally the electrical resistivity of a film. However, in contact operation, tip/media wear and contamination could major obstacles, which degraded SNR, reproducibility, and lifetime. In order to overcome tip/media wear and contamination in contact mode operation, we adopted the W incorporated diamond-like carbon (W-DLC) films as a protective layer. Optimized mutilayer media were prepared by a hybrid deposition system of PECVD and RF magnetron sputtering. When suitable electrical pulses were applied to media through the conducting probe, it was observed that data bits as small as 25 nm in diameter have been written and read with good reproducibility, which corresponds to a data density of $1 Tbit/inch^2$. We concluded that stable electrical phase-change recording was possible mainly due to W-DLC layer, which played a role not only capping layer but also resistive layer.

  • PDF

탄소나노튜브 밀도의 변화에 따른 전자방출 안정성 연구 (Electron emission stability from CNTs with various densities)

  • 임성훈;윤현식;유제황;문종현;박규창;장진;문병연
    • 한국진공학회지
    • /
    • 제14권4호
    • /
    • pp.258-262
    • /
    • 2005
  • 본 연구는 실리콘 질화막 박막을 덮개층으로 사용하여 탄소나노튜브를 성장하고, 성장된 나노튜브의 전자방출특성을 조사하였다. 탄소 나노튜브는 triode PE-CVD 장치에 의해 성장되었으며, 탄소나노튜브의 밀도는 실리콘 질화막의 두께에 따라 크게 변하였다. 탄소 나노튜브의 밀도가 $10^{4}$/$cm^{2}$에서 전자방출 특성이 가장 우수하였으며, 이때 전자방출특성은 문턱전계 1.2 V/$\mu$m, 전류밀도는 3.6 V/$\mu$n의 전기장에서 0.17 mA/$cm^{2}$으로 측정 되었다. 또한, 진공 챔버에서 질소($N_{2}$) 분위기 하에서 전자방출 안정성을 조사하였으며, 탄소나노튜브의 밀도가 감소함에 따라 전자방출 안정성이 향상되었고, 탄소나노튜브의 밀도가 $10^{4}$/$cm^{2}$ 인 경우 $1\times10^{-4}$ A/$cm^{2}$ 이상의 전류가 흐르는 특성을 보였으며, 이 경우 $1\times$$10^{-5}$ Torr의 압력하에서 방출 전류의 안정도는 최소인 $2\%$를 유지하였다.

결정질 실리콘 태양전지 적용을 위한 ALD-Al2O3 패시베이션 막의 산화질화막 적층 특성 (Characteristics on Silicon Oxynitride Stack Layer of ALD-Al2O3 Passivation Layer for c-Si Solar Cell)

  • 조국현;조영준;장효식
    • 한국재료학회지
    • /
    • 제25권5호
    • /
    • pp.233-237
    • /
    • 2015
  • Silicon oxynitride that can be deposited two times faster than general SiNx:H layer was applied to fabricate the passivation protection layer of atomic layer deposition (ALD) $Al_2O_3$. The protection layer is deposited by plasma-enhanced chemical vapor deposition to protect $Al_2O_3$ passivation layer from a high temperature metallization process for contact firing in screen-printed silicon solar cell. In this study, we studied passivation performance of ALD $Al_2O_3$ film as functions of process temperature and RF plasma effect in plasma-enhanced chemical vapor deposition system. $Al_2O_3$/SiON stacks coated at $400^{\circ}C$ showed higher lifetime values in the as-stacked state. In contrast, a high quality $Al_2O_3$/SiON stack was obtained with a plasma power of 400 W and a capping-deposition temperature of $200^{\circ}C$ after the firing process. The best lifetime was achieved with stack films fired at $850^{\circ}C$. These results demonstrated the potential of the $Al_2O_3/SiON$ passivated layer for crystalline silicon solar cells.

인이 주입된 poly-Si/SiO$_{2}$/Si 기판에서 텅스텐 실리사이드의 형성에 관한연구 (Stduy on formation of W-silicide in the diped-phosphorus poly-Si/SiO$_{2}$/Si-substrate)

  • 정회환;주병권;오명환;정관수
    • 전자공학회논문지A
    • /
    • 제33A권3호
    • /
    • pp.126-134
    • /
    • 1996
  • Tungsten silicide films were deposited on the phosphorus-doped poly-Si/SiO$_{2}$/Si-substrates by LPCVD (low pressue chemical vapor deposition). The formation and various properties of tungsten silicide processed by furnace annealing in N$_{2}$ ambient were evaluated by using XRD. AFM, 4-point probe and SEM. And the redistribution of phosphorus atoms has been observed by SIMS. The crystal structure of the as-deposited tungsten silicide films were transformed from the hexagonal to the tetragonal structure upon annealing at 550.deg. C. The surface roughness of tungsten polycide films were found to very smoothly upon annelaing at 850.deg. C and low phosphorus concentration in polysilicon layer. The sheet resistance of tungsten polycide low phosphorus concentration in polysilicon layer. The sheet resistance of tungsten polycide films are measured to be 2.4 .ohm./ㅁafter furnace annealing at 1100.deg. C, 30min. It was found that the sheet resistance of tungsten polycide films upon annealing above 1050.deg. C were independant on the phosphorus concentration of polysilicon layer and furnace annealing times. An out-diffusion of phosphorus impurity through tungsten silicide film after annealing in $O_{2}$ ambient revealed a remarkably low content of dopant by oxide capping.

  • PDF

AlAs 에피층 위에 성장된 InAs 양자점의 Photoluminescence 특성연구 (Photoluminescence Characteristics of InAs Quantum Dots Grown on AlAs Epitaxial Layer)

  • 김기홍;심준형;배인호
    • 한국재료학회지
    • /
    • 제19권7호
    • /
    • pp.356-361
    • /
    • 2009
  • The optical characterization of self-assembled InAs/AlAs Quantum Dots(QD) grown by MBE(Molecular Beam Epitaxy) was investigated by using Photoluminescence(PL) spectroscopy. The influence of thin AlAs barrier on QDs were carried out by utilizing a pumping beam that has lower energy than that of the AlAs barrier. This provides the evidence for the tunneling of carriers from the GaAs layer, which results in a strong QD intensity compared to the GaAs at the 16 K PL spectrum. The presence of two QDs signals were found to be associated with the ground-states transitions from QDs with a bimodal size distribution made by the excitation power-dependent PL. From the temperature-dependent PL, the rapid red shift of the peak emission that was related to the QD2 from the increasing temperature was attributed to the coherence between the QDs of bimodal size distribution. A red shift of the PL peak of QDs emission and the reduction of the FWHM(Full Width at Half Maximum) were observed when the annealing temperatures ranged from 500 $^{\circ}C$ to 750 $^{\circ}C$, which indicates that the interdiffusion between the dots and the capping layer was caused by an improvement in the uniformity size of the QDs.

Synthesis of binary Cu-Se and In-Se nanoparticle inks using cherry blossom gum for CuInSe2 thin film solar cell applications

  • Pejjai, Babu;Reddy, Vasudeva Reddy Minnam;Seku, Kondaiah;Cho, Haeyun;Pallavolu, Mohan Reddy;Le, Trang Thi Thuy;Jeong, Dong-seob;Kotte, Tulasi Ramakrishna Reddy;Park, Chinho
    • Korean Journal of Chemical Engineering
    • /
    • 제35권12호
    • /
    • pp.2430-2441
    • /
    • 2018
  • Selenium (Se)-rich binary Cu-Se and In-Se nanoparticles (NPs) were synthesized by a modified heat-up method at low temperature ($110^{\circ}C$) using the gum exudates from a cherry blossom tree. Coating of CISe absorber layer was carried out using Se-rich binary Cu-Se and In-Se NPs ink without the use of any external binder. Our results indicated that the gum used in the synthesis played beneficial roles such as reducing and capping agent. In addition, the gum also served as a natural binder in the coating of CISe absorber layer. The CISe absorber layer was integrated into the solar cell, which showed a power conversion efficiency (PCE) of 0.37%. The possible reasons for low PCE of the present solar cells and the steps needed for further improvement of PCE were discussed. Although the obtained PCE is low, the present strategy opens a new path for the fabrication of eco-friendly CISe NPs solar cell by a relatively chief non-vacuum method.

직접치수복조재에 따른 비글견 치수의 조직반응에 대한 연구 (PULP RESPONSE OF BEAGLE DOG TO DIRECT PULP CAPPING MATERIALS: HISTOLOGICAL STUDY)

  • 배지현;김영균;윤필영;조병훈;최용훈
    • Restorative Dentistry and Endodontics
    • /
    • 제35권1호
    • /
    • pp.5-12
    • /
    • 2010
  • 본 연구에서는 인위적으로 노출시킨 비글견의 치수조직을 기존의 수산화칼슘제재와 MTA, 접착성 레진, 광중합형 수산화칼슘제재를 사용하여 직접치수복조한 후 각 재료에 따른 치수의 반응을 광학현미경 하에서 조직학적으로 관찰하여 비교분석하였다. 2마리 비글견의 36개 치아를 이용하여, 실험적으로 치수를 노출시키고 노출된 치수에 치수복조재를 적용한 후 와동은 복합레진으로 충전하여 직접치수복조술을 시행하였다: (1) Mineral Trioxide Aggregate (MTA: $ProRoot^{(R)}$ MTA, Dentsply, Tulsa, USA), (2) Clearfil SE Bond (Dentin adhesive system: Kuraray, Osaka, Japan), (3) Ultra-Blend (Photopolymerized Calcium hydroxide: Ultradent, South Jordan, USA), (4) Dycal (Quick setting Calcium hydroxide: LD Caulk Co., Milford, USA). 희생전 90일, 30일, 7일 전에 각 복조재별로 3개씩의 와동을 충전하였고 비글견을 희생시키고 조직시편을 제작하였다. 시편을 H&E 염색 후 광학 현미경으로 치수염증반응과 경조직 형성 정도를 관찰하였다. MTA 군은 초기에는 경조직 형성이 관찰되지 않고 치수조직의 위축과 부분괴사가 관찰되었으나 시간이 지나면서 경조직 형성이 관찰되었다. Clearfil SE Bond군은 초기에 염증세포의 침윤과 치수세포의 괴사를 관찰할 수 있었고 시간이 지날수록 치수 세포의 괴사가 더욱 진행한 양상을 보였다. Ultra-blend 군과 Dycal 군은 MTA 군과 비슷하게 초기에는 경조직 형성을 보이지 않고 중등도의 염증반응이 관찰되었으며 시간이 지나면서 경조직 형성이 관찰되었다. MTA, 수산화칼슘제재와 광중합 수산화칼슘제재는 초기의 치수염증반응 이후 조상아세포층, 상아질교 형성을 보여 직접치수복조재로 적당하였으며, 접착성 레진은 심한 염증반응과 치수 조직의 괴사 양상을 보여 직접치수복조재로 부적당하다는 결론을 얻을 수 있었다.

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

초전도 선재용 완충층의 결정성장 연구 (Epitaxial growth of buffer layers for superconducting coated conductors)

  • 정국채;유재무;김영국
    • 한국초전도ㆍ저온공학회논문지
    • /
    • 제9권3호
    • /
    • pp.5-8
    • /
    • 2007
  • All three buffer layers of $Y_2O_3$, YSZ, and $CeO_2$ have been deposited on the biaxially textured metal substrates using rf-sputtering method, The first 50-70nm thick $Y_2O_3$ films were grown epitaxially on biaxially textured metal substrates as a seed layer and followed by the diffusion barrier ${\sim}100nm$ thick YSZ and subsequent capping layer ${\sim}200nm$ thick $CeO_2$ deposited epitaxially on top of $Y_2O_3$ seed layer. The epitaxial orientation of all three layers were all (100) grown with rocking curve Full Width at Half Maximum(FWHM) of $4-5^{\circ}$ and in plane phi-scan FWHM of $6-8^{\circ}$ using X -ray diffraction analysis. The NiO phases formed during the $Y_2O_3$ seed layer deposition seem to degrade the crystallinity and roughen the surface morphology of the following layer observed by AFM(Atomic Force Microscopy). The buffered tapes were used as substrates for long length YBCO coated conductors with high critical current density $J_c$. The five multi-turn of metal tapes was employed to increase the thickness of films and production rate to compensate the low growth rate of rf-sputtering method.