• Title/Summary/Keyword: CMP (Chemical Mechanical Polishing)

Search Result 428, Processing Time 0.035 seconds

Effects of Silica Slurry Dispersion and pH on the Oxide CMP (슬러리 분산 및 pH가 Oxide CMP에 미치는 영향)

  • Han, Sung-Min;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the KIEE Conference
    • /
    • 2006.07b
    • /
    • pp.1271-1272
    • /
    • 2006
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40%. So, we focused how to reduce the consumption of raw slurry. In this paper, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added de-ionized water (DIW) and pH control as a function of KOH contents. We have investigate the possibility of new abrasive for the oxide CMP application.

  • PDF

Effects of Mixed Abrasive Slurry(MAS) on Metal CMP Characteristics (MAS (Mixed Abrasive Slurry)가 Metal CMP에 미치는 영향)

  • Lee, Young-Kyun;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.81-82
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, so as to investigate the influence of mixed abrasive slurry (MAS), such as $ZrO_2$, $CeO_2$, and $MnO_2$ for Ti-CMP application.

  • PDF

Process Characteristics by Pattern Size in CMP Process of BLT Films (BLT박막의 화학적기계적연마 공정시 패턴 크기에 따른 공정 특성)

  • Shin, Sang-Hun;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.107-108
    • /
    • 2006
  • In this work, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. $Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) ferroelectric film was fabricated by the sol-gel method. However, there have been serious problems in CMP in terms of repeatability and defects in patterned wafer. Especially, dishing & erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the lifetime of the semiconductor. Cross-sections of the wafer before and after CMP were examined by Scanning electron microscope(SEM). Process characteristics of non-dishing and erosion were investigated.

  • PDF

Effects of Silica Slurry Dispersion and pH on the Oxide CMP (슬러리 분산 및 pH가 Oxide CMP에 미치는 영향)

  • Han, Sung-Min;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1731-1732
    • /
    • 2006
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40 %. So, we focused how to reduce the consumption of raw slurry. In this paper, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added de-ionized water (DIW) and pH control as a function of KOH contents. We have investigate the possibility of new abrasive for the oxide CMP application.

  • PDF

Study on the Optimization of HSS STI-CMP Process (HSS STI-CMP 공정의 최적화에 관한 연구)

  • Jeong, So-Young;Seo, Yong-Jin;Park, Sung-Woo;Kim, Chul-Bok;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.149-153
    • /
    • 2003
  • Chemical mechanical polishing (CMP) technology for global planarization of multi-level inter-connection structure has been widely studied for the next generation devices. CMP process has been paid attention to planarized pre-metal dielectric (PMD), inter-layer dielectric (ILD) interconnections. Expecially, shallow trench isolation (STI) used to CMP process on essential. Recently, the direct STI-CMP process without the conventional complex reverse moat etch process has established by using slurry additive with the high selectivity between $SiO_2$ and $Si_3N_4$ films for the purpose of process simplification and n-situ end point detection(EPD). However, STI-CMP process has various defects such as nitride residue, tom oxide and damage of silicon active region. To solve these problems, in this paper, we studied the planarization characteristics using a high selectivity slurry(HSS). As our experimental results, it was possible to achieve a global planarization and STI-CMP process could be dramatically simplified. Also we estimated the reliability through the repeated tests with the optimized process conditions in order to identify the reproducibility of HSS STI-CMP process.

  • PDF

Optimization of chemical mechanical polishing for bulk AlN single crystal surface (화학적 기계적 연마 공정을 통한 bulk AlN 단결정의 표면 가공)

  • Lee, Jung Hun;Park, Cheol Woo;Park, Jae Hwa;Kang, Hyo Sang;Kang, Suk Hyun;Lee, Hee Ae;Lee, Joo Hyung;In, Jun Hyeong;Kang, Seung Min;Shim, Kwang Bo
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.28 no.1
    • /
    • pp.51-56
    • /
    • 2018
  • To evaluate surface characteristics of AlN single crystal grown by physical vapor transport (PVT) method, chemical mechanical polishing (CMP) were performed with diamond slurry and $SiO_2$ slurry after mechanical polishing (MP), then the surface morphology and analysis of polishing characteristics of the slurry types were analyzed. To estimate how pH of slurry effects polishing process, pH of $SiO_2$ slurry was controlled, the results from estimating the effect of zeta potential and MRR (material removal rate) were compared in accordance with each pH via zeta potential analyzer. Eventually, surface roughness RMS (0.2 nm) could be derived with atomic force microscope (AFM).

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang;Xu, Jin-Hai;Lu, Hong-Liang;Zhang, David Wei;Li, Pei
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.319-325
    • /
    • 2017
  • Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.

A New Method for Deep Trench Isolation Using Selective Polycrystalline Silicon Growth (다결정 실리콘의 선택적 성장을 이용한 깊은 트랜치 격리기술)

  • 박찬우;김상훈;현영철;이승윤;심규환;강진영
    • Journal of the Korean Vacuum Society
    • /
    • v.11 no.4
    • /
    • pp.235-239
    • /
    • 2002
  • A new method for deep trench isolation using selective growth of polycrystalline silicon is proposed. In this method, trench filling is performed by forming polysilicon-inner sidewalls within the trench, and then selectively growing them by reduced chemical vapor deposition using $SiH_2C1_2$gas at $1100^{\circ}C$. The surface profiles of filled trenches are determined mainly by the initial depth of inner sidewalls and the total thickness of selective growth. No chemical mechanical polishing(CMP) process is needed in this new method, which makes the process flow simpler and more reliable in comparison with the conventional method using CMP process.

A study on the Optical Properties of OLED Anode by Chemical Mechanical Polishing (양호한 유기발광소자의 광학적 특성 개선을 위한 Anode 표면특성에 관한 연구)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Ko, Pil-Ju;Park, Ju-Sun;Na, Han-Yong
    • Proceedings of the Korean Institute of IIIuminating and Electrical Installation Engineers Conference
    • /
    • 2008.05a
    • /
    • pp.7-9
    • /
    • 2008
  • ITO thin film is generally fabricated by various. methods such as spray, CVD, evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive DC sputtering. However, some problems such as peaks, bumps, large particles, and pin-holes on the surface of ITO thin film were reported, which caused the destruction of color quality, the reduction of device life time, and short-circuit. Chemical mechanical polishing (CMP) process is one of the suitable solutions which could solve the problems

  • PDF

A Study of End Point Detection Measurement for STI-CMP Applications (STI-CMP 공정 적용을 위한 연마 정지점 고찰)

  • 김상용;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.3
    • /
    • pp.175-184
    • /
    • 2001
  • In this study, the improved throughput and stability in device fabrication could be obtained by applying CMP process to STi structue in 0.18 um semiconductor device. To employ the CMP process in STI structure, the Reverse Moat Process used to be added after STI Fill, as a result, the process became more complex and the defect were seriously increased than they had been,. Removal rate of each thin film in STI CMP was not uniform, so, the device must have been affected. That is, in case of excessive CMP, the damage on the active area was occurred, and in the case of insufficient CMP nitride remaining was happened on that area. Both of them deteriorated device characteristics. As a solution to these problems, the development of slurry having high removal rate and high oxide to nitride selectivity has been studied. The process using this slurry afford low defect levels, improved yield, and a simplified process flow. In this study, we evaluated the 'High Selectivity Slurry' to do a global planarization without reverse moat step, and also we evaluated EPD(Eend Point Detection) system with which 'in-situ end point detection' is possible.

  • PDF