• Title/Summary/Keyword: Branch order

Search Result 1,000, Processing Time 0.034 seconds

Pixel-Wise Polynomial Estimation Model for Low-Light Image Enhancement

  • Muhammad Tahir Rasheed;Daming Shi
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.17 no.9
    • /
    • pp.2483-2504
    • /
    • 2023
  • Most existing low-light enhancement algorithms either use a large number of training parameters or lack generalization to real-world scenarios. This paper presents a novel lightweight and robust pixel-wise polynomial approximation-based deep network for low-light image enhancement. For mapping the low-light image to the enhanced image, pixel-wise higher-order polynomials are employed. A deep convolution network is used to estimate the coefficients of these higher-order polynomials. The proposed network uses multiple branches to estimate pixel values based on different receptive fields. With a smaller receptive field, the first branch enhanced local features, the second and third branches focused on medium-level features, and the last branch enhanced global features. The low-light image is downsampled by the factor of 2b-1 (b is the branch number) and fed as input to each branch. After combining the outputs of each branch, the final enhanced image is obtained. A comprehensive evaluation of our proposed network on six publicly available no-reference test datasets shows that it outperforms state-of-the-art methods on both quantitative and qualitative measures.

Design of an Instruction Fetch Unit for RAPTOR, a On-Chip Multiprocessor (RAPTOR의 명령어 페치 유닛 설계)

  • 이성권;오형철이상원한우종
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.767-770
    • /
    • 1998
  • This paper introduces an instruction fetch unit which is designed for RAPTOR, an on-chip multiprocessor. In order to reduce control hazards, the proposed fetch unit supports a hybrid branch prediction scheme which consists of a static scheme and the 2bC branch prediction scheme. The fetch unit also utilizes the branch folding technique with two instruction buffers to avoid the branch penalty caused by imspredictions. Instructions are predecoded in the fetch unit to achieve extra performance gain.

  • PDF

Q 인자 특성을 개선한 병렬 분기형 인덕터

  • Bae, Hyeon-Cheol;Kim, Sang-Hun;Lee, Ja-Yeol;Lee, Sang-Heung
    • Proceedings of the IEEK Conference
    • /
    • 2006.06a
    • /
    • pp.547-548
    • /
    • 2006
  • In this paper, cost effective parallel-branch inductor has been proposed and developed in order to increase the quality factor of the conventional spiral inductor. This parallel-branch inductor is composed of only two metals. The presented parallel-branch inductor shows 12% improvement in the quality factor with the same area as the conventional inductor. Also, we improve the parallel-branch inductor for high frequency applications.

  • PDF

Experimental Study on the Characteristics of Pressure Fluctuation in the Combustion Chamber with Branch Tube (분기관을 가진 연소 챔버 내 압력변동 특성에 관한 실험적 연구)

  • Park, Jang-Hee;Lee, Dae-Keun;Shin, Hyun-Dong
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.33 no.7
    • /
    • pp.552-558
    • /
    • 2009
  • An experimental study using the combustor with branch tube was conducted in order to model the industry combustor with FGR (flue gas recirculation) system and to study a thermo-acoustic instability generated by a branch tube. The branch tube is a structure used to modify a system geometry and then to change its pressure field, and the thermo-acoustic instability, usually occurs in a confined geometry, can result in serious problems on industrial combustors. Thus understanding of the instability created by modifying geometry of combustor is necessary to design and operate combustor with FGR system. Pressure fluctuation in the combustion chamber was observed according to diameter and length of branch and it was compared with the solution of 1-D wave equation. It was found that branch tube affects the pressure field in the combustion chamber, and the pressure fluctuation in the combustion chamber was reduced to almost zero when phase difference between an incipient wave in the combustion chamber and a reflected wave in the branch tube is $\pi$ at the branch point. Also, the reduction of pressure fluctuation is irrespective of the installed height of branch tube if it is below $h^*=0.9$ in the close-open tube and open-open tube.

A Branch Predictor with New Recovery Mechanism in ILP Processors for Agriculture Information Technology (농업정보기술을 위한 ILP 프로세서에서 새로운 복구 메커니즘 적용 분기예측기)

  • Ko, Kwang Hyun;Cho, Young Il
    • Agribusiness and Information Management
    • /
    • v.1 no.2
    • /
    • pp.43-60
    • /
    • 2009
  • To improve the performance of wide-issue superscalar processors, it is essential to increase the width of instruction fetch and the issue rate. Removal of control hazard has been put forward as a significant new source of instruction-level parallelism for superscalar processors and the conditional branch prediction is an important technique for improving processor performance. Branch mispredictions, however, waste a large number of cycles, inhibit out-of-order execution, and waste electric power on mis-speculated instructions. Hence, the branch predictor with higher accuracy is necessary for good processor performance. In global-history-based predictors like gshare and GAg, many mispredictions come from commit update of the branch history. Some works on this subject have discussed the need for speculative update of the history and recovery mechanisms for branch mispredictions. In this paper, we present a new mechanism for recovering the branch history after a misprediction. The proposed mechanism adds an age_counter to the original predictor and doubles the size of the branch history register. The age_counter counts the number of outstanding branches and uses it to recover the branch history register. Simulation results on the SimpleScalar 3.0/PISA tool set and the SPECINT95 benchmarks show that gshare and GAg with the proposed recovery mechanism improved the average prediction accuracy by 2.14% and 9.21%, respectively and the average IPC by 8.75% and 18.08%, respectively over the original predictor.

  • PDF

SEMI-SYMMETRIC CUBIC GRAPH OF ORDER 12p3

  • Amoli, Pooriya Majd;Darafsheh, Mohammad Reza;Tehranian, Abolfazl
    • Bulletin of the Korean Mathematical Society
    • /
    • v.59 no.1
    • /
    • pp.203-212
    • /
    • 2022
  • A simple graph is called semi-symmetric if it is regular and edge transitive but not vertex transitive. In this paper we prove that there is no connected cubic semi-symmetric graph of order 12p3 for any prime number p.

Analytical solution for buckling of embedded laminated plates based on higher order shear deformation plate theory

  • Baseri, Vahid;Jafari, Gholamreza Soleimani;Kolahchi, Reza
    • Steel and Composite Structures
    • /
    • v.21 no.4
    • /
    • pp.883-919
    • /
    • 2016
  • In this research, buckling analysis of an embedded laminated composite plate is investigated. The elastic medium is simulated with spring constant of Winkler medium and shear layer. With considering higher order shear deformation theory (Reddy), the total potential energy of structure is calculated. Using Principle of Virtual Work, the constitutive equations are obtained. The analytical solution is performed in order to obtain the buckling loads. A detailed parametric study is conducted to elucidate the influences of the layer numbers, orientation angle of layers, geometrical parameters, elastic medium and type of load on the buckling load of the system. Results depict that the highest buckling load is related to the structure with angle-ply orientation type and with increasing the angle up to 45 degrees, the buckling load increases.

Multiple Phase Differential Detection of Trellis-coded MDPSK-OFDM (트렐리스 부호화된 MDPSK-OFDM의 다중 위상차 검파)

  • Kim, Chong-Il
    • Proceedings of the Korea Institute of Convergence Signal Processing
    • /
    • 2003.06a
    • /
    • pp.217-221
    • /
    • 2003
  • In this paper, the Viterbi decoder containing new branch metrics of the squared Euclidean distance with multiple order phase differences is introduced in order to improve the bit error rate (BER) in the differential detection of the trellis-coded MDPSK-OFDM. The proposed Viterbi decoder is conceptually same as the multiple Phase differential detection method that uses the branch metric with multiple phase differences. Also, we describe the Viterbi algorithm in order to use this branch metrics. Our study shows that such a Viterbi decoder improves BER performance without sacrificing bandwidth and power efficiency. Also, the proposed algorithm can be used in the single carrier modulation.

  • PDF

Prevalence of parasite infection of poultry in Chonbuk area (가금의 장내 기생충 감염실태)

  • Yang Hong-Ji;Seo Chang-Sub;Yoon Yea-Baek;Park Tae-Wook;Choi Eun-Young;Kim Youn-Tae
    • Journal of the korean veterinary medical association
    • /
    • v.30 no.11
    • /
    • pp.679-688
    • /
    • 1994
  • In order to monitor the parasites, fecal samples were taken from chicken (n=1,000), turkey(n=157), helmeted guineafowl(n=149), pheasant(n=190) and duck(n=190) in Chonbuk area. The identification of the parasites were determined by the fecal examination us

  • PDF