• Title/Summary/Keyword: Average Delay

Search Result 989, Processing Time 0.029 seconds

Bilateral Controller for Time-varying Communication Delay: Time Domain Passivity Approach (시변 시간지연 하에서 안정성을 보장하는 양방향 원격제어기 : 시간영역 수동성 기법)

  • Ryu, Jee-Hwan
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.13 no.11
    • /
    • pp.1099-1105
    • /
    • 2007
  • In this paper, modified two-port time-domain passivity approach is proposed for stable bilateral control of teleoperators under time-varying communication delay. We separate input and output energy at each port of a bilateral controller, and propose a sufficient condition for satisfying the passivity of the bilateral controller including time-delay. Output energy at the master port should be less than the transmitted input energy from the slave port with time-delay, and output energy at the slave port should be less than the transmitted input energy from the master port with time-delay. For satisfying above two conditions, two passivity controllers are attached at each port of the bilateral controller. A packet reflector with wireless internet connection is used to introduce serious time-varying communication delay of teleoperators. Average amount of time-delay was about 190(msec) for round trip, and varying between 175(msec) and 275(msec). Moreover some data packet was lost during the communication due to UDP data communication. Even under the serious time-varying delay and packet loss communication condition, the proposed approach can achieve stable teleoperation in free motion and hard contact as well.

Optimal Traffic Information using Fuzzy Neural Network

  • Hong, You-Sik;Lee, Choul--Ki
    • International Journal of Fuzzy Logic and Intelligent Systems
    • /
    • v.3 no.1
    • /
    • pp.105-111
    • /
    • 2003
  • This paper is researching the storing of 40 different kinds of conditions. Such as, car speed, delay in starting time and the volume of cars in traffic. Through the use of a central nervous networking system or AI, using 10 different intersecting roads. We will improve the green traffic light. And allow more cars to easily flow through the intersections. Now days, with increasing many vehicles on restricted roads, the conventional traffic light creates prove startup-delay time and end-lag-time. The conventional traffic light loses the function of optimal cycle. And so, 30-45% of conventional traffic cycle is not matched to the present traffic cycle. In this paper proposes electro sensitive traffic light using fuzzy look up table method which will reduce the average vehicle waiting time and improve average vehicle speed. Computer simulation results prove that reducing the average vehicle waiting time which proposed considering passing vehicle length for optimal traffic cycle is better than fixed signal method which dosen't consider vehicle length.

A Distributed Sequential Link Schedule Combined with Routing in Wireless Mesh Networks

  • Cha, Jae-Ryong;Kim, Jae-Hyun
    • ETRI Journal
    • /
    • v.34 no.3
    • /
    • pp.462-465
    • /
    • 2012
  • This letter proposes a new distributed scheduling scheme combined with routing to support the quality of service of real-time applications in wireless mesh networks. Next, this letter drives average end-to-end delay of the proposed scheduling scheme that sequentially schedules the slots on a path. Finally, this letter simulates the time division multiple access network for performance comparison. From the simulation results, when the average number of hops is 2.02, 2.66, 4.1, 4.75, and 6.3, the proposed sequential scheduling scheme reduces the average end-to-end delay by about 28%, 10%, 17%, 27%, and 30%, respectively, compared to the conventional random scheduling scheme.

Novel Section-Based Joint Network Coding and Scheduling Scheme in WMNs: JNCS

  • Cha, Jae Ryong;Baek, Gwang Hun
    • ETRI Journal
    • /
    • v.37 no.2
    • /
    • pp.380-386
    • /
    • 2015
  • Guaranteeing quality of service over a multihop wireless network is difficult because end-to-end (ETE) delay is accumulated at each hop in a multihop flow. Recently, research has been conducted on network coding (NC) schemes as an alternative mechanism to significantly increase the utilization of valuable resources in multihop wireless networks. This paper proposes a new section-based joint NC and scheduling scheme that can reduce ETE delay and enhance resource efficiency in a multihop wireless network. Next, this paper derives the average ETE delay of the proposed scheme and simulates a TDMA network where the proposed scheme is deployed. Finally, this paper compares the performance of the proposed scheme with that of the conventional sequential scheduling scheme. From the performance analysis and simulation results, the proposed scheme gives more delay-and energy-efficient slot assignments even if the NC operation is applied, resulting in a use of fewer network resources and a reduction in ETE delay.

A Study on the Level of Service Criteria of Intersection by TRANSYT-7F Vehicle Delay Model (TRANSYT-7F Delay Model에 의한 교차로 서비스수준 분석 기준에 관한 연구)

  • 서채연;김재국;이상국;문권수
    • Journal of Korean Society of Transportation
    • /
    • v.8 no.2
    • /
    • pp.43-54
    • /
    • 1990
  • Six Levels of Service are defined for each type of facility for which analysis procedures as available. They are given letter designations, from A to F with Level of Service A representing the best operating conditions and Level of Service F the worst. Yet the appropriate criteria by vehicle delay model in our situations are not formed. Therefore, The objective of this study is to form the criterion of by average approach delay based on the criterion of V/C ratio. Level-of-Service criterion of this study by TRANSYT-7F Delay Model is as follows. A:${\leq}35.5$ sec, B:${\leq}41$ sec, C:${\leq}48$ sec, D:${\leq}56.5$ sec, E:${\leq}66.5$ sec, F:>66.5 sec.

  • PDF

Time-Delay Effects on DC Characteristics of Peak Current Controlled Power LED Drivers

  • Kim, Marn-Go;Jung, Young-Seok
    • Proceedings of the KIPE Conference
    • /
    • 2011.07a
    • /
    • pp.481-482
    • /
    • 2011
  • New discrete time domain models for the peak current controlled (PCC) power LED drivers in continuous conduction mode include for the first time the effects of time delay in the pulse-width-modulator. Realistic amounts of time delay are found to have significant effects on the average output LED current and on the critical inductor value at the boundary between two conduction modes. Especially, the time delay can provide an accurate LED current for the PCC buck converter with a wide input voltage. The models can also predict the critical inductor values at the mode boundary as functions of the input voltage and the time delay.

  • PDF

Performance on the Beam-Switched Demand Assigned Multiple Access for the Packet Satellite Communication (패킷 위성통신의 빔스위칭 요구할당 다중 접속 방식에 대한 성능 연구)

  • 김덕년;김재명
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.26 no.10
    • /
    • pp.1462-1470
    • /
    • 1989
  • This paper aims at investigating the Demand Assigned Multiple Access(DAMA) system for the packet-switched Satellite Communication. An onboard processor of the multisport beam satellite incorporates the ground controller to macimize the packet transmissions for each slot. 'Request Following' trnasmission mode is introduced as a transmission strategy of ground station under the control of its zone controller. The combined scheme of reservation channel access and contention channel access was proposed by Lee & Mark[3] for improving the Delay-Throughput performance. Our scheme provides less communication delay of approximately max. 200msec for achieving the corresponding throughput than the Lee & Mark's work does. Delay versus Throughput curves as well as Delay versus Traffic parameter curves are obtained. Numerical results obtained through the analysis and by the computer simulation show that the proposed scheme provides the low average packer delay even under the condition that the number of transponders (M)is below the half of the number of zones(N).

  • PDF

Enhanced Timing Recovery Using Active Jitter Estimation for Voice-Over IP Networks

  • Kim, Hyoung-Gook
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.6 no.4
    • /
    • pp.1006-1025
    • /
    • 2012
  • Improving the quality of service in IP networks is a major challenge for real-time voice communications. In particular, packet arrival-delay variation, so-called "jitter," is one of the main factors that degrade the quality of voice in mobile devices with the voice-over Internet protocol (VoIP). To resolve this issue, a receiver-based enhanced timing recovery algorithm combined with active jitter estimation is proposed. The proposed algorithm copes with the effect of transmission jitter by expanding or compressing each packet according to the predicted network delay and variations. Additionally, the active network jitter estimation incorporates rapid detection of delay spikes and reacts to changes in network conditions. Extensive simulations have shown that the proposed algorithm delivers high voice quality by pursuing an optimal trade-off between average buffering delay and packet loss rate.

A Study on DOA and Delay Time Presumption based on Average Method (평균방법에 근거한 DOA와 지연시간추정에 관한 연구)

  • 이관형;송우영
    • Journal of the Korea Society for Simulation
    • /
    • v.13 no.2
    • /
    • pp.1-12
    • /
    • 2004
  • This paper estimated the arrival angle and electric wave delay time using the space method law and the directions of arrival (DOA) estimation algorithm in case of signal correlation. Space method law is the method used to repress cross correlation before applying the weight value to the receiving signal. The values of the diagonal elements in the correlation matrix were averaged to replace as the diagonal elements value. In the area of wireless communication or mobile communication, there are high correlations in case of low delay time difference in multiple waves. This causes the quality of the communication to drop due to interference with the desired signal elements. This paper estimated the arrival angle and electric wave delay time using the space method law and the MUSIC algorithm. With the arrival angle algorithm, the arrival angle cannot be estimated below 5 in case of signal correlations because the angle resolution capacity decreases accordingly. The super resolution capacity was estimated to determine the arrival angle below 5 in this paper. In addition, the proposed algorithm estimated the short delay time difference to be below 20ns.

  • PDF

A Random and Systematic Jitter Suppressed DLL (무작위와 체계적인 것에 의한 지터를 제어하는 지연고정루프)

  • Ahn, Sung-Jin;Choi, Yong-Shig;Choi, Hyek-Hwan
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2016.05a
    • /
    • pp.693-695
    • /
    • 2016
  • A random and systematic jitter suppressed DLL is presented. The AC averages the delay time of successive delay stages and equalizes the delay time of all delay stages. Measurement results of the DLL-based clock generator fabricated in a one-poly six-metal $0.18{\mu}m$ CMOS process shows 13.4-ps rms jitter.

  • PDF