• Title/Summary/Keyword: 3-D Die Design

Search Result 231, Processing Time 0.028 seconds

A Research on the Development of the 3-dimensional Design Automation System for Progressive Die (Progressive 금형의 3차원 설계 자동화시스템의 개발에 관한 연구)

  • 김대영;성창영;이재원
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2000.11a
    • /
    • pp.303-306
    • /
    • 2000
  • This paper describes a research on the development of the 3D design automation system for progressive die. Based on knowledge base of expert, this system can carry out design tasks, such as feature recognition of product data, layout design, dre set component design. Easy system user mterface and 3-dlmensional solid modeling could result in time and cost saving.

  • PDF

Thermal-Aware Floorplanning with Min-cut Die Partition for 3D ICs

  • Jang, Cheoljon;Chong, Jong-Wha
    • ETRI Journal
    • /
    • v.36 no.4
    • /
    • pp.635-642
    • /
    • 2014
  • Three-dimensional integrated circuits (3D ICs) implement heterogeneous systems in the same platform by stacking several planar chips vertically with through-silicon via (TSV) technology. 3D ICs have some advantages, including shorter interconnect lengths, higher integration density, and improved performance. Thermal-aware design would enhance the reliability and performance of the interconnects and devices. In this paper, we propose thermal-aware floorplanning with min-cut die partitioning for 3D ICs. The proposed min-cut die partition methodology minimizes the number of connections between partitions based on the min-cut theorem and minimizes the number of TSVs by considering a complementary set from the set of connections between two partitions when assigning the partitions to dies. Also, thermal-aware floorplanning methodology ensures a more even power distribution in the dies and reduces the peak temperature of the chip. The simulation results show that the proposed methodologies reduced the number of TSVs and the peak temperature effectively while also reducing the run-time.

Study on the Strip Layout & Die Design of HEV UV Terminal (HEV UV단자의 스트립 레이아웃과 금형설계에 관한 연구)

  • Choi, Kye-Kwang;Kim, Sei-Hwan;Cho, Yun-Ho
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.11 no.12
    • /
    • pp.4691-4696
    • /
    • 2010
  • The This research paper deals with research on the 3D strip layout design of HEV UV terminal by utilizing the Cimatron Die Design, an automation module. To ensure smooth stamping of the product, strip layout was corrected for 33.5 degrees of slope, and blank layout of the double-width, 1-line, 1-pull out inner carrier was then optimized as a single arrangement. To mass-produce two different terminals from one common die, 3D strip layout design and die design were completed in 29 different processes.

A Preliminary Study on the Application of Three-Dimensional (3D) Printing Technologies to Hot Bulk Forming Processes - Example of Preform Design and Investigation of Hot-working Tool Steel Deposited Surface (3 차원 프린팅 기술의 열간 체적 성형 공정 적용에 관한 기초 연구 - 예비형상 설계 예 및 열간 금형강으로 적층된 표면 특성 분석)

  • Ahn, Dong-Gyu;Kim, Se-Hun;Lee, Ho-Jin
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.31 no.12
    • /
    • pp.1093-1100
    • /
    • 2014
  • The goal of this paper is to investigate preliminary the applicability of 3D printing technologies for the development of the hot bulk forming process and die. 3D printing technology based on the plastic material was applied to the preform design of the hot forging process. Plastic hot forging dies were fabricated by Polyjet process for the physical simulation of the workpiece deformation. The feasibility of application of Laser-aided Direct Metal Rapid Tooling (DMT) process to the fabrication of the hot bulk metal forming die was investigated. The SKD61 hot-working tool steel was deposited on the heat treated SKD61 using the DMT process. Fundamental characteristics of SKD 61 hot-working tool steel deposited specimen were examined via hardness and wear experiments as well as the observation of the morphology. Using the results of the examination of fundamental characteristics, the applicability of the DMT process to manufacture hot bulk forming die was discussed.

Development of a Three-Dimensional CAD System for Design of Drawing Dies for Automotive Panels (자동차 패널 드로잉 금형 설계를 위한 3 차원 CAD 시스템의 개발)

  • Lee Sang-Hwa;Lee Sang-Hun
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.1424-1428
    • /
    • 2005
  • This paper describes a dedicated three-dimensional CAD system for design of drawing dies for automotive body panels. Since solid die models are useful not only for simulations for design verification, but also for NC tool path generation to machine dies and their Styrofoam patterns, 3-D CAD systems have been introduced in the tooling shop of automotive manufacturers. However, the work to build solid models requires a lot of time and effort if the designer uses only the general modeling capabilities of commercial 3-D CAD systems. To solve this problem, we customized a 3-D CAD system for the drawing die design. The system provides not only 3-D design capabilities, but also standard part libraries to enhance design productivity. By introducing this system, the drawing dies can be designed more rapidly in the 3-D space, and their solid data can be directly transferred to CAM tools for NC tool path generation and simulation tools for virtual manufacturing

  • PDF

Study on the Design of Bracket Strip Layout Utilizing Die Design of Cimatron (씨마트론 다이 디자인을 활용한 브라켓의 스트립 레이아웃설계에 관한 연구)

  • Choi, Kye-Kwang;Lee, Dong-Cheon
    • Proceedings of the KAIS Fall Conference
    • /
    • 2008.05a
    • /
    • pp.35-39
    • /
    • 2008
  • 프로그레시브금형에 있어서 스트립 레이아웃설계는 제품 양산을 결정하는 중요 요인이다. 본 논문에서는 자동차에 사용되는 브라켓의 스트립 레이아웃설계를 하였다. 3D모델링이 아닌 자동화 모듈인 씨마트론 다이 디자인을 활용하여 3D로 스트립 레이아웃설계를 하였다. 광폭 2열 2개 뽑기의 내측캐리어를 단 배열로 블랭크 레이아웃을 최적화하였다. 사용된 3D CAD/CAM 소프트웨어는 Cimatron Die Design이며 10개 공정으로 스트립 레이아웃설계를 완성하였다.

  • PDF

A study on manufacturing custom fit earphone (커스컴 이어폰 제작에 관한 연구)

  • Lee, Won-Man;Choi, Kye-Gwang;Lee, Choon-Kyu
    • Design & Manufacturing
    • /
    • v.10 no.3
    • /
    • pp.51-57
    • /
    • 2016
  • The customized production market tends to get bigger due to the improvement of 3-D printing technology and a rise in national income. This research is to overcome the limitation of original production method which is localized under the mass production, and furthermore It is to find the intersection between the future 3D printer and a standard molding technology by manufacturing custom earphone with a low price.

Compensation Design to Reduce Springback in Sheet Metal Forming of 1.2GPa Ultra High Strength Steel (1.2GPa급 강판 판재 성형에서 스프링백 감소를 위한 금형 보상 설계)

  • Kwon, S.H.;Lee, H.S.;Lee, Y.S.;Kim, S.W.;Jung, C.Y.;Hong, S.
    • Transactions of Materials Processing
    • /
    • v.25 no.5
    • /
    • pp.301-305
    • /
    • 2016
  • The manual modification of stamping die has widely been used in order to reduce springback after sheet metal forming. When UHSS (Ultra High Strength Steel) is used in sheet metal forming, the die design considering springback compensation is more difficult because higher strength sheet has more springback. In this study, the optimization method was used in order to design die geometry considering springback compensation after forming of 1.2GPa UHSS. Die geometries were defined as design variables and the springback distance from the die surface was conducted as object function in optimization process. The optimized die geometry considering springback compensation was performed using finite element and optimization analysis. The simulation results such as thickness distribution and springback amount were compared with measured data using 3D optical measurement system (GOM ARGUS, ATOS). And the prediction of springback amount showed a good agreement within test results.

A research on the Automatic 3-D Blocker Design of Closed Die-Hot Forging (열간 형단조 공정의 3차원 중간 금형 자동 설계에 관한 연구)

  • Hwang, C.;Oh, S.I.
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 1998.03a
    • /
    • pp.126-129
    • /
    • 1998
  • Proper design of blocker dies is one of the most important aspect of impression and closed-die forging to achieve adequate metal distribution. Determination of the blocker configuration is a very difficult task and is art in itself, requiring skills achieved only by years of extensive experience. To save the cost and time of blocker design, many methods using computer were proposed. In this research, low pass filter method proposed by Oh etc. was applied to blocker die design of spoiler support, part of aircraft and plasticine model experiment of closed die forging of spoiler support was accomplished to verify the validity of the blocker designed.

  • PDF

Machine Learning Based Variation Modeling and Optimization for 3D ICs

  • Samal, Sandeep Kumar;Chen, Guoqing;Lim, Sung Kyu
    • Journal of information and communication convergence engineering
    • /
    • v.14 no.4
    • /
    • pp.258-267
    • /
    • 2016
  • Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations.