• Title/Summary/Keyword: 플라즈마 질화

Search Result 187, Processing Time 0.024 seconds

A Study on the Microstructures and Properties of Sulfnitrided SCM440 Steel by Micro-pulse Plasma (SCM440강에 형성된 플라즈마 침류질화층의 조직과 특성에 관한 연구)

  • 이재식
    • Journal of the Korean institute of surface engineering
    • /
    • v.31 no.5
    • /
    • pp.266-277
    • /
    • 1998
  • The effects of $H_2S$ gas ratio, temperature and time on the case depth, hardness, and sulfide and nitride formation on the surface of sulfnitrided SCM440 steel have been studied by micro-pulse plasma technique. The thickness of compound layer of sulfide and nitride increased with the increase of time, temperautre and $H_2S$ gas ratio. But surface hardness decreased with the increase of soft sulfide layer because the hard nitride layer formed beneath the sulfide. The thickness of sulfide layer was about 10$\mu\textrm{m}$ abpve 0.0088% of $H_2S$ gas. The highest surface hardness of the compound layer was Hv835 at $530^{\circ}C$, 1hr and 0.06% of $H_2S$ gas. X-ray diffraction indicated that the surface products were $Fe_{1_x}S$, $Fe_{2.5}N$ and $Fe_4N$. It was confirmed by EPMA that sulfide only existed in the surface.

  • PDF

Effects of Plasma Nitriding on the Surface Charcteristice Of Stainless Steels (스테인스강의 표면특성에 미치는 플라즈마질화의 영향)

  • 최한철;김관휴
    • Journal of the Korean institute of surface engineering
    • /
    • v.30 no.2
    • /
    • pp.144-154
    • /
    • 1997
  • Effects of plasma nitriding on the surface charcteristice of stainless steel(SS) were investjgated by utilizing wear tester, micro-hardness tester and potentiostat. The surface and corrosion morphology of plasma nitrided SS were analyzed by utilizing optical microscopy, SEM, XRD and WDX. It was found that plasma nitriding at $550^{\circ}C$, compared with $380^{\circ}C$, prodiced a good wear resistance and hardness as nitriding time increased, whereas Mo addition showd that were resistance and hardness decreased. Intergranular corrosion(IGC) resistance improved significantly in the case of plasma nirtrided SS containing 4.05wt% Mo at $380^{\circ}C$ because that nitrogen and Mo ast syner gidically to form a protective layer on surface which is responsible for the aggresive SCN-ion. Plasma nitrided at $550^{\circ}C$ decreased IGC as Mo content increased. Pitting improved in the plasma nitirided SS at Mo content incresased owing to retard a nucleation and growth of chromium carbide or nitirde in grain boundary.

  • PDF

Improved Characteristics in AlGaN/GaN-on-Si HFETs Using Sacrificial GaOx Process (산화갈륨 희생층을 이용한 AlGaN/GaN-on-Si HFET의 특성 개선 연구)

  • Lee, Jae-Gil;Cha, Ho-Young
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.51 no.2
    • /
    • pp.33-37
    • /
    • 2014
  • We have developed a novel passivation process employing a sacrificial gallium oxide process in order to recover the surface damage in AlGaN/GaN HFETs. Even with a conventional prepassivation process, surface damage during high temperature ohmic annealing cannot be avoided completely. Therefore, it is necessary to recover the damaged surface to avoid the characteristic degradation. In this work, a sacrificial gallium oxide process has been proposed in which the damaged surface after ohmic annealing was oxidized by oxygen plasma treatment and thereafter etched back using HCl. As a result, the leakage current was dramatically reduced and thus the subthreshold slope was significantly improved. In addition, the maximum drain current level was increased from 594 to 634 mA/mm. To verify the effects, the surface conditions were carefully investigated using X-ray photoelectron spectroscopy.

The Effect of Activated Nitrogen Species for Diffusion Rate during a Plasma Nitriding Process (플라즈마질화에서 발생기 질소와 질화 속도에 관한 연구)

  • Kim, Sang-Gweon;Kim, Sung-Wan;Brand, P.J.
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.23 no.3
    • /
    • pp.150-155
    • /
    • 2010
  • Generally, plasma nitriding process has composed with a nitriding layer within glow discharge region occurred by energy exchange. The dissociations of nitrogen molecules are very difficult to make neutral atoms or ionic nitrogen species via glow discharge area. However, the captured electrons in which a double-folded screen with same potential cathode can stimulate and come out some single atoms or activated ionic species. It was showed an important thing that is called "hat is a dominant component in this nitriding process?" in plasma nitriding process and it can take an effective species for without compound layer. During a plasma nitriding process, it was able to estimate with analyzing and identification by optical emission spectroscopy (OES) study. And then we can make comparative studies on the nitrogen transfer with plasma nitriding and ATONA process using plasma diagnosis and metallurgical observation. From these observations, we can understand role of active species of nitrogen, like N, $N^+$, ${N_2}^+$, ${N_2}^*$ and $NH_x$-radical, in bulk plasma of each process. And the same time, during DC plasma nitriding and other processes, the species of FeN atom or any ionic nitride species were not detected by OES analyzing.

Characteristics of the Nitride Layers Formed on Ti and Ti-10wt.%Ta-10wt.%Nb Alloys by Plasma Nitriding (플라즈마 이온질화처리 된 Ti 및 Ti-10wt.%Ta-10wt.%Nb 합금의 표면에 형성된 질화층의 특성)

  • Kim, Dong-Hun;Lee, Doh-Jae;Lee, Kwang-Min;Kim, Min-Ki;Lee, Kyung-Ku;Park, Bum-Su
    • Journal of Korea Foundry Society
    • /
    • v.28 no.3
    • /
    • pp.124-128
    • /
    • 2008
  • The nitride layer was formed on Ti and Ti-10 wt.%Ta-10 wt.%Nb alloy by a plasma nitriding method. Temperature was selected as the main experimental parameter for plasma nitriding. XRD, EDX, and hardness test were employed to analyze the evolution and material properties of the layer. The SEM observation of TiN nitride layer revealed that the thickness of nitride layer tended to increase with increasing temperature. ${\delta}-TiN$, ${\varepsilon}-Ti_{2}N$ and ${\alpha}-Ti$ phases were detected by XRD analysis and the preferred orientation of TiN nitride layer was obviously observed at (220) plane with increasing temperature. From XRD analysis after step polishing the nitride specimens treated at $850^{\circ}C$, as polishing from the surface, TiN and $Ti_{2}N$ phases decreased gradually. After polishing the surface by $4{\um}m$, a small amount of $Ti_{2}N$ and ${\alpha}-Ti$ phases were observed. The adhesive strength test result indicated that adhesive strength increased with increasing temperature.

Comparative Study on Ablation Characteristics of Ti-6Al-4V Alloy and Ti2AlN Bulks Irradiated by Femto-second Laser (펨토초 레이저에 의한 티타늄 합금과 티타늄질화알루미늄 소결체의 어블레이션특성 비교연구)

  • Hwang, Ki Ha;Wu, Hua Feng;Choi, Won Suk;Cho, Sung Hak;Kang, Myungchang
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.18 no.7
    • /
    • pp.97-103
    • /
    • 2019
  • Mn+1AXn (MAX) phases are a family of nano-laminated compounds that possess unique combination of typical ceramic properties and typical metallic properties. As a member of MAX-phase, $Ti_2AlN$ bulk materials are attractive for some high temperature applications. In this study, $Ti_2AlN$ bulk with high density were synthesized by spark plasma sintering method. X-ray diffraction, micro-hardness, electrical and thermal conductivity were measured to compare the effect of material properties both $Ti_2AlN$ bulk samples and a conventional Ti-6Al-4V alloy. A femto-second laser conditions were conducted at a repetition rate of 6 kHz and laser intensity of 50 %, 70% and 90 %, respectively, laser confocal microscope were used to evaluate the width and depth of ablation. Consequently, the laser ablation result of the $Ti_2AlN$ sample than that of the Ti-6Al-4V alloys show a considerably good ablation characteristics due to its higher thermal conductivity regardless of to high densification and high hardness.

Bond Strength of Wafer Stack Including Inorganic and Organic Thin Films (무기 및 유기 박막을 포함하는 웨이퍼 적층 구조의 본딩 결합력)

  • Kwon, Yongchai;Seok, Jongwon
    • Korean Chemical Engineering Research
    • /
    • v.46 no.3
    • /
    • pp.619-625
    • /
    • 2008
  • The effects of thermal cycling on residual stresses in both inorganic passivation/insulating layer that is deposited by plasma enhanced chemical vapor deposition (PECVD) and organic thin film that is used as a bonding adhesive are evaluated by 4 point bending method and wafer curvature method. $SiO_2/SiN_x$ and BCB (Benzocyclobutene) are used as inorganic and organic layers, respectively. A model about the effect of thermal cycling on residual stress and bond strength (Strain energy release rate), $G_c$, at the interface between inorganic thin film and organic adhesive is developed. In thermal cycling experiments conducted between $25^{\circ}C$ and either $350^{\circ}C$ or $400^{\circ}C$, $G_c$ at the interface between BCB and PECVD $ SiN_x $ decreases after the first cycle. This trend in $G_c$ agreed well with the prediction based on our model that the increase in residual tensile stress within the $SiN_x$ layer after thermal cycling leads to the decrease in $G_c$. This result is compared with that obtained for the interface between BCB and PECVD $SiO_2$, where the relaxation in residual compressive stress within the $SiO_2$ induces an increase in $G_c$. These opposite trends in $G_cs$ of the structures including either PECVD $ SiN_x $ or PECVD $SiO_2$ are caused by reactions in the hydrogen-bonded chemical structure of the PECVD layers, followed by desorption of water.

c-BN 박막의 박리특성 향상에 관한 연구

  • 이성훈;변응선;이건환;이구현;이응직;이상로
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.124-124
    • /
    • 2000
  • 다이아몬드에 버금가는 높은 경도뿐만 아니라 높은 화학적 안정성 및 열전도성 등 우수한 물리화학적 특성을 가진 입방정 질화붕소(cubic boron nitride)는 마찰.마모, 전자, 광학 등의 여러 분야에서의 산업적 응용이 크게 기대되는 재료이다. 특히 탄화물형성원소에 대해 안정하여 철계금속의 가공을 위한 공구재료로의 응용 또한 크게 기대된다. 이 때문에 각종의 PVD, CVD 공정을 이용하여 c-BN 박막의 합성에 대한 연구가 광범위하게 진행되어 많은 성공사례들이 보고되고 있다. 그러나 c-BN 박막의 유용성에도 불구하고 아직 실제적인 응용이 이루어지지 못한 것은 c-BN 박막의 증착직후 급격한 박리현상 때문이다. 본 연구에서는 평행자기장을 부가한 ME-ARE(Magnetically Enhanced Activated Reactive Evaporation)법을 이용한 c-BN 박막의 합성에서 적용한 증착공정 인자들의 변화에 따른 박리특성 고찰과 함께 다층박막화 및 제 3원소 혼입 방법을 적용하여 박리특성 향상 정도를 조사하였다. BN 박막합성은 전자총에 의해 증발된 보론과 (질소+아르곤) 플라즈마의 활성화반응증착(Activated Reactive Evaporation)에 의해 이루어졌다. 기존의 ARE 장치와 달리 열음극(got cathode)과 양극(anode) 사이에 평행자기장을 부가하여 플라즈마의 증대시켜 반응효율을 높였다. 합성실험용 모재로는 p-type으로 도핑된 (100) Si웨이퍼를 30$\times$40mmzmrl로 절단 후, 10%로 희석된 완충불산용액에 10분간 침적하여 표면의 산화층을 제거한 후 사용하였다. 박막실험실에서의 주요공정변수는 기판바이어스 전압, discharge 전류, Ar/N2가스유량비이었다. 합성된 박막의 결정성 분석을 FTIR을 이용하였으며, BN 박막의상 및 미세구조관찰을 위해 투과전자현미경(TEM;Philips EM400T) 분석을 병행하였고, 박막의 기계적 물성 평가를 위해 미소경도를 측정하였다. 박리특성의 고찰은 대기중에서의 자발적 박리가 일어나 90%이상의 박리가 진행된 시점까지의 시간을 측정하였고, 증착직후 박막의 잔류응력 변화와 연관하여 고찰해 보았다.

  • PDF

The Microstructures and Properties of Surface Layer on the Tool Steel Formed by Ion Nitriding -Effects of Process Parameter- (마이크로 펄스 플라즈마 질화에 의해 생성된 금형 공구강의 표면층에 관한 연구 -공정 변수의 영향-)

  • Lee, J.S.;Kim, H.G.;You, Y.Z.
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.14 no.1
    • /
    • pp.8-16
    • /
    • 2001
  • The effects of gas composition, pressure, temperature and time on the case thickness, hardness and nitride formation in the surface of tool steels(STD11 and STD61) have been studied by micro-pulse plasma nitriding. External compound layer and internal diffusion layer and the diffusion layer were observed in the nitrided case of tool steels. The relative amounts and kind of phases formed in the nitrided case changed with the change of nitriding conditions. Generally, only nitride phases such as ${\gamma}(Fe_4N)$, ${\varepsilon}(Fe_{2-3}N)$, or $Cr_{1.75}V_{0.25}N_2$ phases were detected in the compound layer, while nitride and carbide phases such as ${\varepsilon}-nitride(Fe_{2-3}N)$, $(Cr,Fe)_{\gamma}C_3$ or $Fe_3C$ were detected in the diffusion layer by XRD analysis. The thickness of compound layer increased with the increase of nitrogen content in the gas composition. Maximum case depth was obtained at gas pressure of 200Pa.

  • PDF

Dependence of Low-frequency Noise and Device Characteristics on Initial Oxidation Method of Plasma-nitride Oxide for Nano-scale CMOSFET (Nano-CMOSFET를 위한 플라즈마-질화막의 초기 산화막 성장방법에 따른 소자 특성과 저주파 잡음 특성 분석)

  • Joo, Han-Soo;Han, In-Shik;Goo, Tae-Gyu;Yoo, Ook-Sang;Choi, Won-Ho;Choi, Myoung-Gyu;Lee, Ga-Won;Lee, Hi-Deok
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.1
    • /
    • pp.1-7
    • /
    • 2007
  • In this paper, two kinds of initial oxidation methods i.e., SLTO(Slow Low Temperature Oxidation: $700^{\circ}C$) and RTO(Rapid Thermal Oxidation: $850^{\circ}C$) are applied prior to the plasma nitridation for ultra thin oxide of RPNO (Remote Plasma Nitrided Oxide). It is observed that SLTO has superior characteristics to RTO such as lower SS(Sub-threshold Slope) and improved Ion-Ioff characteristics. Low frequency noise characteristics of SLTO also showed better than RTO both in linear and saturation regime. It is shown that flicker noise is dominated by carrier number fluctuation in the channel region. Therefore, SLTO is promising for nano-scale CMOS technology with ultra thin gate oxide.