• Title/Summary/Keyword: 플라즈마 식각

Search Result 666, Processing Time 0.024 seconds

Dry Etching of PMMA and Polycarbonate in a Diffusion Pump-based Capacitively Coupled O2 Plasma (확산펌프 기반의 O2 축전결합 플라즈마를 이용한 PMMA와 폴리카보네이트의 건식 식각)

  • Park, Ju-Hong;Lee, Seong-Hyun;Choi, Jyoung-Hoon;Noh, Ho-Sub;Lee, Je-Won
    • Korean Journal of Materials Research
    • /
    • v.19 no.8
    • /
    • pp.421-426
    • /
    • 2009
  • We report on the capacitively coupled O2 plasma etching of PMMA and polycarbonate (PC) with a diffusion pump. Plasma process variables were process pressure and CCP power at 5 sccm $O_2$ gas flow rate. Characterization was done in order to analyze etch rate, etch selectivity, surface roughness, and morphology using stylus surface profilometry and scanning electron microscopy. Self bias decreased with increase of process pressure in the range of 25$\sim$180 mTorr. We found an important result for optimum pressure for the highest etch rate of PMMA and PC, which was 60 mTorr. PMMA and PC had etch rates of 0.46 and 0.28 ${\mu}m$/min under pressure conditions, respectively. More specifically, etch rates of the materials increased when the pressure changed from 25 mTorr to 60 mTorr. However, they reduced when the pressure increased further after 60 mTorr. RMS roughnesses of the etched surfaces were in the range of 2.2$\sim$2.9 nm. Etch selectivity of PMMA to a photoresist was $\sim$1.5:1 and that of PC was $\sim$0.9:1. Etch rate constant was about 0.04 ${\mu}m$/minW and 0.02 ${\mu}m$/minW for PMMA and PC, respectively, with the CCP power change at 5 sccm $O_2$ and 40 mTorr process pressure. PC had more erosion on the etched sidewall than PMMA did. The OES data showed that the intensity of the oxygen atomic peak (777.196 nm) proportionally increased with the CCP power.

Surface reaction of $HfO_2$ etched in inductively coupled $BCl_3$ plasma ($BCl_3$ 유도결합 플라즈마를 이용하여 식각된 $HfO_2$ 박막의 표면 반응 연구)

  • Kim, Dong-Pyo;Um, Doo-Seunng;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.477-477
    • /
    • 2008
  • For more than three decades, the gate dielectrics in CMOS devices are $SiO_2$ because of its blocking properties of current in insulated gate FET channels. As the dimensions of feature size have been scaled down (width and the thickness is reduced down to 50 urn and 2 urn or less), gate leakage current is increased and reliability of $SiO_2$ is reduced. Many metal oxides such as $TiO_2$, $Ta_2O_4$, $SrTiO_3$, $Al_2O_3$, $HfO_2$ and $ZrO_2$ have been challenged for memory devices. These materials posses relatively high dielectric constant, but $HfO_2$ and $Al_2O_3$ did not provide sufficient advantages over $SiO_2$ or $Si_3N_4$ because of reaction with Si substrate. Recently, $HfO_2$ have been attracted attention because Hf forms the most stable oxide with the highest heat of formation. In addition, Hf can reduce the native oxide layer by creating $HfO_2$. However, new gate oxide candidates must satisfy a standard CMOS process. In order to fabricate high density memories with small feature size, the plasma etch process should be developed by well understanding and optimizing plasma behaviors. Therefore, it is necessary that the etch behavior of $HfO_2$ and plasma parameters are systematically investigated as functions of process parameters including gas mixing ratio, rf power, pressure and temperature to determine the mechanism of plasma induced damage. However, there is few studies on the the etch mechanism and the surface reactions in $BCl_3$ based plasma to etch $HfO_2$ thin films. In this work, the samples of $HfO_2$ were prepared on Si wafer with using atomic layer deposition. In our previous work, the maximum etch rate of $BCl_3$/Ar were obtained 20% $BCl_3$/ 80% Ar. Over 20% $BCl_3$ addition, the etch rate of $HfO_2$ decreased. The etching rate of $HfO_2$ and selectivity of $HfO_2$ to Si were investigated with using in inductively coupled plasma etching system (ICP) and $BCl_3/Cl_2$/Ar plasma. The change of volume densities of radical and atoms were monitored with using optical emission spectroscopy analysis (OES). The variations of components of etched surfaces for $HfO_2$ was investigated with using x-ray photo electron spectroscopy (XPS). In order to investigate the accumulation of etch by products during etch process, the exposed surface of $HfO_2$ in $BCl_3/Cl_2$/Ar plasma was compared with surface of as-doped $HfO_2$ and all the surfaces of samples were examined with field emission scanning electron microscopy and atomic force microscope (AFM).

  • PDF

수소처리와 후성장층의 특성이 다이아몬드 박막의 전계방출 특성에 미치는 영향

  • 심재엽;송기문;이세종;백홍구
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.96-96
    • /
    • 2000
  • 화학증착법으로 증착된 다이아몬드 박막은 우수한 전기적 특성과 뛰어난 화학적, 열적 안정성 때문에 전계방출소재로 많은 관심을 불러 일으키고 있다. 다이아몬드 박막의 전계방출은 저전계에서 일어나는 것으로 알려져 있으며, 저전계방출의 원인을 규명하려는 많은 연구가 진행되어 왔다. 한편, 다이아몬드 박막의 전계방출전류는 금속기판의 사용에 의한 기판/다이아몬드 접촉의 개선, 다이아몬드 박막내의 흑연성분의 조절에 의한 구조변화, 보론이나 인 (P), 질소의 도핑, 수소 플라즈마나 cesium 등의 금속을 이용한 표면처리 등의 여러 방법에 의하여 향상된다는 것이 입증되었다. 그 외에 메탄과 대기 분위기 처리, 암모니아 분위기에서의 레이저 조사도 전계방출특성을 향상시키는 것으로 보고되었다. 그러나, 다이아몬드 박막의 성장후 구조적 특성이 다른 박막의 후성장이나 열분해된 운자수소 처리가 다이아몬드 박막의 전계방출특성에 미치는 영향에 관한 연구는 지금까지 이루어지지 않았다. 본 연구에서는 수소처리와 후성장이 다이아몬드 박막의 전계방출특성에 미치는 영향을 고찰하고 이로부터 그 원인을 규명하고자 하였다. 다이아몬드 박막은 hot-filament 화학증착법을 이용하여 증착하였다. 후성장한 다잉아몬드 박막내의 흑연성분과 박막의 두께를 체계적으로 조절하여 후성장 박막의 구조적 특성과 그 두께의 영향을 확인할 수 있었다. 후성장층내의 흑연성분과 두께가 증가할수록 전계방출특성은 향상되다가 저하되었다. 한편, 다이아몬드 박막을 성장시킨 후 수소분위기 처리를 함에 따라 전계방출특성은 향상되었지만 수소처리시간이 5분 이상으로 증가함에 따라 그 특성은 저하되었다. 본 연구에서는 수소처리와 후성장시 나타나는 전계방출특성의 변화 원인을 규명하고자 한다.기판위에서 polymer-like Carbon 구조는 향상되는 경향을 보였다.0 mm인 백금 망을 마스크로 사용하여 실제 3차원 미세구조를 제작하여 보았다. 그림 1에서 제작된 구조물의 SEM 사진을 보여주었으며, 식각된 면의 조도가 매우 뛰어나며 모서리의 직각성도 우수함을 확인할 수 있다. 이와 같이 도출된 시험 조건을 기초로 하여 리소그래피 후에 전기 도금을 이용한 금속 몰드 제작 및 이온빔 리소그래피 장점을 최대한 살릴수 있는 미세구조 제작에 대한 연구를 계속 추진할 계획이다. 비정질 Si1-xCx 박막을 증착하여 특성을 분석한 결과 성장된 박막의 성장률은 Carbonfid의 증가에 따라 다른 성장특성을 보였고, Silcne(SiH4) 가스량의 감소와 함께 박막의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다. 20$0^{\circ}C$이상으로 증가시켜도 광투과율은 큰 변화를 나타내지 않았다.부터

  • PDF

Recovery of Nickel from Waste Iron-Nickel Alloy Etchant and Fabrication of Nickel Powder (에칭 폐액으로부터 용매추출과 가수분해를 이용한 니켈분말제조에 관한 연구)

  • Lee, Seokhwan;Chae, Byungman;Lee, Sangwoo;Lee, Seunghwan
    • Clean Technology
    • /
    • v.25 no.1
    • /
    • pp.14-18
    • /
    • 2019
  • In general after the etching process, waste etching solution contains metals. (ex. Nickel (Ni), Chromium (Cr), Zinc (Zn), etc.) In this work, we proposed a recycling process for waste etching solution and refining from waste liquid contained nickel to make nickel metal nano powder. At first, the neutralization agent was experimentally selected through the hydrolysis of impurities such as iron by adjusting the pH. We selected sodium hydroxide solution as a neutralizing agent, and removed impurities such as iron by pH = 4. And then, metal ions (ex. Manganese (Mn) and Zinc (Zn), etc.) remain as impurities were refined by D2EHPA (Di-(2-ethylhexyl) phosphoric acid). The nickel powders were synthesized by liquid phase reduction method with hydrazine ($N_2H_4$) and sodium hydroxide (NaOH). The resulting nickel chloride solution and nickel metal powder has high purity ( > 99%). The purity of nickel chloride solution and nickel nano powders were measured by EDTA (ethylenediaminetetraacetic) titration method with ICP-OES (inductively coupled plasma optical emission spectrometer). FE-SEM (field emission scanning electron microscopy) was used to investigate the morphology, particle size and crystal structure of the nickel metal nano powder. The structural properties of the nickel nano powder were characterized by XRD (X-ray diffraction) and TEM (transmission electron microscopy).

Growth of ring-shaped SiC single crystal via physical vapor transport method (PVT 방법에 의한 링 모양의 SiC 단결정 성장)

  • Kim, Woo-Yeon;Je, Tae-Wan;Na, Jun-Hyuck;Choi, Su-Min;Lee, Ha-Lin;Jang, Hui-Yeon;Park, Mi-Seon;Jang, Yeon-Suk;Jung, Eun-Jin;Kang, Jin-Ki;Lee, Won-Jae
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.32 no.1
    • /
    • pp.1-6
    • /
    • 2022
  • In this research, a ring-shaped silicon carbide (SiC) single crystal manufactured using the PVT (Physical Vapor Transport) method was proposed to be applied to a SiC focus ring in semiconductor etching equipment. A cylindrical graphite structure was placed inside the graphite crucible to grow a ring-shaped SiC single crystal by the PVT method. SiC single crystal ring without crack was successfully obtained in case of using SiC single crystal wafer as a seed. A plasma etching process was performed to compare plasma resistance between the CVD-SiC focus ring and the PVT-SiC focus ring. The etch rate of ring materials in PVT-single crystal SiC focus ring was definitely lower than that of CVD-SiC focus ring, indicating better plasma resistance of PVT-SiC focus ring.

Study of Selective Etching of GaAs over AlGaAs and InGaP Semiconductors in High Density Planar Inductively Coupled BCl3/SF6 Plasmas (고밀도 평판형 유도결합 BCl3/SF6 플라즈마를 이용한 GaAs/AlGaAs와 InGaP 반도체의 선택적 식각에 관한 연구)

  • Yoo Seungryul;Ryu Hyunwoo;Lim Wantae;Lee Jewon;Cho Guan Sik;Jeon Minhyon;Song Hanjung;Lee BongJu;Ko Jong Soo;Go Jeung Sang;Pearton S. J.
    • Korean Journal of Materials Research
    • /
    • v.15 no.3
    • /
    • pp.161-165
    • /
    • 2005
  • We investigated selective dry etching of GaAs over AlGaAs and InGaP in high density planar inductively coupled $BCl_3/SF_6$ plasmas. The process parameters were ICP source power (0-500 W), RE chuck power (0-30W) and gas composition $(60-100\%\;BCl_3\;in\;BCl_3/SF_6)$. The process results were characterized in terms of etch rate, selectivities of GaAs over AlGaAs and InGaP, surface morphology, surface roughness and residues after etching. $BCl_3/SF_6$ selective etching of GaAs showed quite good results in this study. Selectivities of GaAs $(GaAs:AlGaAs\~36:1,\;GaAs:InGaP\~45:1)$ were superior at $18BCl_3/2SF_6$, 20 W RF chuck power, 300 W ICP source power and 7.5 mTorr. Addition of $(5-15\%)SF_6\;to\;BCl_3$ produced relatively high selectivities of GaAs over AlGaAs and InGaP during etching due to decrease of etch rates of AlGaAs and InGaP (boiling points of etch products: $AlF_3\~1300^{\circ}C,\;InF_3>1200^{\circ}C$ at atmosphere) at the condition. SEM and AFM data showed slightly sloped sidewall and somewhat rough surface$(RMS\~9nm)$. XPS study on the surface of processed GaAs proved a very clean surface after dry etching. It shows that planar inductively coupled $BCl_3/SF_6$ plasmas could be a good candidate for selective dry etching of GaAs over AlGaAs and InGaP.