• 제목/요약/키워드: 비대칭 멀티코어 구조

검색결과 7건 처리시간 0.024초

비대칭적 멀티코어 디지털 신호처리 프로세서의 성능 연구 (A Performance Study of Asymmetric Multi-core Digital Signal Processor Architectures)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제15권5호
    • /
    • pp.219-224
    • /
    • 2015
  • 최근에 멀티코어 프로세서 구조가 디지털 신호처리 프로세서의 성능을 개선하기 위하여 광범위하게 이용되고 있다. 이러한 멀티코어 프로세서는 크게 대칭적 구조와 비대칭적 구조로 나뉜다. 비대칭적 멀티코어 프로세서는 대칭적 멀티코어 프로세서에 비하여 더욱 성능이 높고 효율적이라고 알려져 있다. 본 논문에서는 비대칭적 멀티코어 디지털 신호처리 프로세서가 대칭적 멀티코어 디지털 신호처리 프로세서에 대하여 갖는 성능의 우수성을 고찰하기 위하여, 다양한 구성을 갖는 비대칭적 쿼드코어, 옥타코어 및 헥사데카코어 디지털 신호처리 프로세서에 대하여 UTDSP 벤치마크를 입력으로 하여 모의실험을 수행하여 그 성능을 측정하고 비슷한 하드웨어 규모의 대칭적 멀티코어 디지털 신호처리 프로세서와 그 성능을 비교하였다.

비대칭적 임베디드 멀티코어 프로세서의 성능 연구 (A Performance Study of Asymmetric Embedded Multi-Core Processors)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제16권1호
    • /
    • pp.233-238
    • /
    • 2016
  • 근래에 임베디드 프로세서의 성능을 향상시키기 위하여 멀티코어 프로세서 구조가 널리 이용되고 있다. 이러한 멀티코어 프로세서는 크게 대칭적 구조와 비대칭적 구조로 나뉘며, 비대칭적 멀티코어 프로세서가 대칭적 멀티코어 프로세서에 비하여 더욱 성능이 높고 효율적이라고 알려져 있다. 본 논문에서는 임베디드 프로세서에 대하여 이것을 확인하기 위하여, 다양한 구성을 갖는 비대칭적 임베디드 듀얼코어, 쿼드코어, 옥타코어 및 헥사데카코어 프로세서에 대하여 MiBench 벤치마크를 입력으로 하여 모의실험을 수행하여 그 성능을 측정하였다. 또한, 비슷한 하드웨어 규모의 대칭적 임베디드 멀티코어 프로세서와 비교하여 성능의 우수성을 확인하였다.

비대칭적 멀티코어 프로세서의 성능 연구 (Performance Study of Asymmetric Multicore Processor Architectures)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제14권3호
    • /
    • pp.163-169
    • /
    • 2014
  • 현재 범용 컴퓨터 시스템을 구축할 때 성능을 높이기 위하여 멀티코어 프로세서가 널리 이용되고 있으며, 멀티코어 프로세서의 구조는 크게 대칭적 구조와 비대칭적 구조로 나뉜다. 비대칭적 멀티코어 프로세서는 크고 복잡한 고성능의 코어와, 작고 간단한 저성능의 프로세서들로 구성되며, 대칭적 멀티코어 프로세서에 비하여 더욱 성능과 효율이 높은 것으로 알려져 있다. 본 논문에서는 다양한 구성을 갖는 비대칭적 쿼드코어 및 옥타코어 프로세서에 대하여 SPEC 2000 벤치마크를 통하여 모의실험을 수행하여 그 성능을 측정하고, 대칭적 쿼드코어 및 옥타코어 프로세서와 그 성능을 비교하였다.

모바일 디바이스를 위한 마일리지 기반 비대칭 멀티코어 스케줄링 (Mileage-based Asymmetric Multi-core Scheduling for Mobile Devices)

  • 이세원;이병훈;임성화
    • 한국산업정보학회논문지
    • /
    • 제26권5호
    • /
    • pp.11-19
    • /
    • 2021
  • 본 논문에서는 각 코어의 마일리지를 기반으로 하는 비대칭 멀티코어 프로세서의 스케줄링 기법을 제안한다. 저전력을 소비하며 일반성능을 갖는 LITTLE 코어와 고성능을 갖춘 대신 고전력을 소비하는 big 코어로 구성된 big-LITTLE 멀티코어 프로세서 구조를 고려하였다. 시스템에 태스크가 도착하여 처리해야 할 때, 프로세서는 태스크를 처리할 코어 유형(big 또는 LITTLE)을 먼저 결정한 다음 유휴 중인 코어들 가운데서 마일리지가 가장 작은 코어를 조사하여 해당 작업을 코어에 할당한다. 비대칭 멀티코어 할당을 위한 마일리지 기반 밸런싱 알고리즘을 개발하였으며 제안한 스케줄링 기법이 시스템 관리 관점에서 기존 방식보다 더 비용 효율적임을 보인다. 또한 시뮬레이션을 수행하여 제안한 알고리즘의 성능을 평가한다.

비대칭적 멀티코어 프로세서의 통계적 모의실험에 관한 연구 (A Study On Statistical Simulation for Asymmetric Multi-Core Processor Architectures)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제16권2호
    • /
    • pp.157-163
    • /
    • 2016
  • 비대칭적 멀티코어 프로세서 구조의 성능을 분석하기 위하여 명령어 트레이스 모의실험이나 실행 위주 모의실험을 이용하는 경우, 시간이 과다 소요되고 대량의 데이터 저장 공간을 차지하는 문제점이 있다. 본 논문에서는 통계적 모의실험에 의하여 다양한 하드웨어의 사양을 갖는 비대칭적 멀티코어 프로세서의 성능을 측정하는 기법에 대하여 연구하였다. 이것을 위하여 SPEC 2000 벤치마크 프로그램의 특성을 통계적 프로화일링 기법으로 모델링하고, 여기서 얻은 통계적 프로화일을 바탕으로 벤치마크 트레이스를 합성하여 비대칭적 멀티코어 프로세서에 대한 모의실험을 수행하였다. 그 결과, 통계적 모의실험에 의하여 측정한 성능이 명령어 트레이스 모의실험에 의하여 측정한 성능에 근접한 결과를 가져왔으며, 모의실험 시간을 크게 단축시켰다.

비대칭 멀티코어 모바일 단말에서 SVM 기반 저전력 스케줄링 기법 (SVM-based Energy-Efficient scheduling on Heterogeneous Multi-Core Mobile Devices)

  • 한민호;고영배;임성화
    • 한국산업정보학회논문지
    • /
    • 제27권6호
    • /
    • pp.69-75
    • /
    • 2022
  • 본 논문에서 비대칭 멀티 코어 구조의 스마트 모바일 단말에서 실시간성 보장과 에너지 소비량 절감을 고려한 작업 스케쥴링 기법을 제안한다. 최근 VR, AR, 3D 등 고성능 응용프로그램은 실시간과 고수준 작업이 요구된다. 스마트 단말은 배터리에 의존적이므로 높은 에너지 효율을 위해서 big.LITTLE 구조가 적용되었지만, 이를 제대로 활용하지 못함으로써 에너지 절감효과가 반감되는 문제점이 있었다. 본 논문에서는 big.LITTLE 구조의 단말에서 실시간성과 높은 에너지 효율을 높일 수 있는 비대칭 멀티코어 할당 기법을 제안한다. 이 기법은 SVM 모델을 활용해서 실제 작업의 실행시간을 예측하고 이를 통해서 에너지 소모와 실행시간을 최적화한 알고리즘을 제안한다. 상용 스마트폰에서의 비교실험을 통하여 제안기법이 기존 기법과 유사한 실행시간을 보장하면서 에너지 소비량의 절감을 보였다.

스마트 모바일 장치의 에너지 보존성을 높이기 위한 비대칭 멀티 코어 기반 실시간 태스크 스케쥴링 (Real-time Scheduling on Heterogeneous Multi-core Architecture for Energy Conservation of Smart Mobile Devices)

  • 임성화
    • 디지털콘텐츠학회 논문지
    • /
    • 제19권6호
    • /
    • pp.1219-1224
    • /
    • 2018
  • 사물인터넷 (Internet of Things)은 우리의 실생활에서 그 범위가 급격히 커지면서, 스마트 모바일 장치들에 대용량 실시간 데이터를 모바일 환경에서 고속으로 처리 및 전송하기에 적합한 처리능력이 요구되고 있다. 배터리 파워가 중요한 모바일 기기에서 성능과 에너지 보존성을 높이기 위해 big.LITTLE 멀티코어 구조와 같은 비대칭 멀티코어 구조가 널리 사용되고 있다. 에너지 보존성을 높이기 위해서는 에너지 효율이 높은 LITTLE 코어의 활용도를 높여하며, 이룰 위해 본 논문에서는 실시간 태스크를 대상으로 하여 마감 시간을 보장하는 범위 내에서 LITTLE 코어에 우선적으로 할당하는 코어 선택 알고리즘을 제안하다. 또한, 시뮬레이션을 통하여 기존 기법에 비해 마감시간을 보장하면서 에너지 소비량을 줄 있 수 있음을 보였다.