• Title/Summary/Keyword: 반응성 플라즈마 식각

Search Result 75, Processing Time 0.031 seconds

결정질 실리콘 태양전지 표면 조직화 형상이 효율에 미치는 영향 분석

  • Byeon, Seong-Gyun;Kim, Jun-Hui;Park, Ju-Eok;Jo, Hae-Seong;Kim, Min-Yeong;Im, Dong-Geon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.315.1-315.1
    • /
    • 2013
  • 표면 조직화의 목적은 태양전지 표면에서의 입사되는 빛의 반사율을 감소 시키고, 웨이퍼 내에서 빛의 통과 길이를 길게 하며, 흡수되는 빛의 양을 증가시키는 것이다. 본 연구에는 습식, 건식 표면조직화 방법에 따른 표면 형상과 표면 반사도를 분석 하였으며, 셀을 제작하여 전기적 특성과 광학적 특성의 상관관계를 분석하였다. 표면 조직화 공정은 염기성 용액인 KOH를 이용한 식각 방법과 Ag를 이용한 metal-assisted 식각, 산증기를 이용한 식각, 플라즈마를 이용한 반응성 이온식각을 적용하여 제작하였다. 표면 반사율을 400~1000 nm 사이의 파장에서 측정하였으며 KOH를 이용하여 식각한 샘플이 9.11%의 표면 반사율을 가졌으며 KOH를 이용하여 식각한 표면에 추가로 metal-assisted 식각을 한 샘플이 2%로 가장 낮은 표면 반사율을 보였다. 표면 조직화 후 동일 조건으로 셀을 제작 하여 효율 측정 결과 Ag를 이용한 2단계 metal-assisted chemical 식각이 15.83%의 가장 낮은 광변환 효율을 보였으며 RIE를 이용한 2단계 반응성 이온 식각공정이 17.78%로 가장 높은 광변환 효율을 보였다. 이 결과는 반사도 결과와 일치 하지 않았다. 표면 조직화 모양에 따른 셀 효율의 변화는 도핑 프로파일과 표면 재결합 속도의 변화 때문이라 생각되며 더 명확한 분석을 위해 양자 효율을 측정하여 분석을 시도하였다. 측정 결과 단파장 대역에서 낮은 응답특성을 가지는 것을 확인 할 수 있었는데 그 이유는 낮은 반사도를 가지는 표면조직화 공정의 경우 나노사이즈의 구조를 갖기 때문에 균일한 도핑 프로파일을 얻지 못해 전자 정공의 분리가 제대로 이루어지지 못하였고 표면 재결합 속도증가의 원인으로 단락전류와 개방전압이 낮아져 효율이 떨어진 것으로 판단된다. 실험 결과 도핑 프로파일의 균일성은 셀 효율 개선을 위해 낮은 표면 반사율 만큼 중요하다는 점을 알게되었다. 낮은 반사율을 갖는 표면조직화 공정도 중요하지만 표면에 따른 균일한 도핑 프로파일을 갖는 공정을 개발한다면 단파장 응답도가 향상되어 단락전류밀도의 상승효과를 얻을 수 있을 것이라 판단된다.

  • PDF

$CF_4$/Ar를 이용한 유기고분자 기판의 펄스 직류전원 건식 식각

  • Kim, Jin-U;Choe, Gyeong-Hun;Park, Dong-Gyun;Jo, Gwan-Sik;Lee, Je-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.91-91
    • /
    • 2010
  • 본 논문은 펄스 직류전원 (Pulse DC) 플라즈마 소스와 반응성 가스인 $CF_4$와 불활성 가스인 Ar를 혼합하여 산업에서 널리 사용되는 유기고분자인 Polymethylmethacrylate (PMMA), Polyethylene terephthalate (PET), 그리고 Polycarbonate (PC) 샘플을 건식 식각한 결과에 대한 것이다. 각각의 샘플은 감광제 도포 후에 자외선을 조사하는 포토레지스트 방법으로 마스크를 만들었다. 펄스 직류전원 플라즈마 시스템을 사용하면 다양한 변수를 줄 수 있다는 장점이 있다. 공정 변수는 Pulse DC Voltage는 300 - 500 V, Pulse DC reverse time $0.5{\sim}2.0\;{\mu}s$, Pulse DC Frequency 100~250 kHz 이었다. 변수 각각의 값이 높아질수록 고분자의 식각률이 높아졌다. 특히, PMMA의 식각률이 가장 높았으며 PET, PC 순이었다. 샘플 중 PC의 식각률이 가장 낮은 이유는 고분자 결합 중에 이중결합의 벤젠 고리 모양을 포함하고 있어 분자 결합력이 비교적 높기 때문으로 사료된다. 기계적 펌프만을 사용한 공정 전 압력은 30 mTorr이었다. 쓰로틀 밸브를 완전 개방한 상태에서 식각 공정 중 진공 압력은 $CF_4$ 가스유량이 늘어날수록 증가하였다. 식각률 역시 $CF_4$ 가스유량(총 가스 유량은 10 sccm)이 많을수록 증가함을 보여주었다 (PMMA: 10 sccm $CF_4$에서 330 nm/min, 3.5 sccm $CF_4$/6.5 sccm Ar에서 260 nm/min., PET: 10 sccm $CF_4$에서 260 nm/min, 3.5 sccm $CF_4$/6.5 sccm Ar에서 210 nm., PC: 10 sccm $CF_4$에서 230 nm, 3.5 sccm $CF_4c$/6.5 sccm Ar에서 160 nm). 이는 펄스 직류전원 플라즈마 식각에서 $CF_4$와 Ar의 가스 혼합비를 조절함으로서 고분자 소재의 식각률을 적절히 변화시킬 수 있다는 것을 의미한다. 표면 거칠기는 실험 후 표면단차 측정기와 전자 현미경 등을 이용하여 식각한 샘플의 표면을 측정하여 알 수 있었다. 실험전 기준 샘플 표면 거칠기는 PMMA는 1.53nm, PET는 3.1nm, PC는 1.54nm 이었다. 식각된 샘플들의 표면 거칠기는 PMMA는 3.59~10.59 nm, PET은 5.13~11.32 nm, PC는 1.52~3.14 nm 범위였다. 광학 발광 분석기 (Optical emission spectroscopy)를 이용하여 식각 공정 중 플라즈마 발광특성을 분석한 결과, 탄소 원자 픽 (424.662 nm)과 아르곤 원자 픽 (751.465 nm, 763.510 nm)의 픽의 존재를 확인하였다. 이 때 탄소 픽은 $CF_4$ 가스에서 발생하였을 것으로 추측한다. 본 발표를 통해 펄스 직류전원 $CF_4$/Ar의 고분자 식각 결과에 대해 보고할 것이다.

  • PDF

유도 결합 플라즈마를 이용한 ITO박막의 특성 연구

  • Wi, Jae-Hyeong;U, Jong-Chang;Eom, Du-Seung;Yang, Seol;Ju, Yeong-Hui;Park, Jeong-Su;Heo, Gyeong-Mu;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.209-209
    • /
    • 2009
  • ITO 박막은 박막 태양전지, 유기 태양전지뿐만 아니라 유연한 디스플레이, 발광다이오드와 같은 광학적 장치에 투명한 전극으로써 널리 사용된다. 글라스나 플라스틱 기판위에 형성된 투명 전극은 식각을 통하여 전기회로를 구성한다. 또한 식각 특성을 개선할 필요가 있다. 이 연구에서 우리는 유리 기판위에 코팅된 ITO 박막을 유도결합 $BCl_3/Ar$ 플라즈마를 이용하여 식각하였다. ITO 박막은 RF 마그네트론 스퍼터링을 사용해 200 $^{\circ}C$에서 비알칼리 글라스 위에 증착하였고 ITO 박막의 총 두께는 약 250 nm 이었다. 또한 전기 전도성은 $4.483{\times}10^{-4}{\Omega}cm$, 캐리어 농도는 $3.923{\times}10^{20}cm^{-3}$이고, 홀 이동도는 $3.545{\times}10cm^{-2}/Vs$이었다. Ar 플라즈마에 $BCl_3$ 가스를 첨가시키면서 가스 비율에 따른 ITO의 식각 속도와 ITO와 PR과의 선택비를 측정하였다. 최대 식각 속도는 $BCl_3$(25%)/Ar(75%), 500 W의 RF power, -200 V의 DC-bias voltage, 그리고 2 pa의 공정압력일 때 588 nm/min이었고 선택비는 0.43으로 다소 낮게 측정되었다. 식각된 표면의 화학적 반응은 엑스선 광전자 분광법 (X-ray Photoelectron Spectroscopy)을 사용해 조사되었다. 그리고 식각된 표면의 거칠기는 원자현미경 (Atomic Force Microscopy)을 사용해 측정하였다.

  • PDF

유리기판의 광추출 효율 향상을 위한 마스크 제작 공정 없는 플라즈마 식각 연구

  • Seo, Dong-Wan;Gwon, O-Hyeong;Lee, U-Hyeon;Kim, Ji-Won;Hwang, Gi-Ung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.507-507
    • /
    • 2013
  • 유리기판으로 투과되는 빛들 중에는 내부 전반사나 wave-guided mode로 인하여 손실이 일어나 일반적으로 20%의 광추출 효율을 가진다. 이러한 문제점을 해결하기 위한 연구에는 Photonic Crystal과 같은 주기적인 나노 구조물이 있는데 이러한 구조물을 제작하기 위한 마스크 공정 과정은 대부분 복잡하거나 비싼 단점이 있다. 이에 본 발표에서는 마스크 없이 비정질소다라임 유리의 구조물 생성으로 광 추출 효율이 상승하는지 보고자 하였다. M-ICP (Magnetized-Induced Coupled Plasma)란 용량 결합형 플라즈마와 유도 결합형 플라즈마 두 가지 방식의 플라즈마를 이용한 것인데 용량 결합형 플라즈마를 이용해 이온이 sheath에 의해 가속되어 유리표면에 부딪히고 그에 따라 유리가 식각되는 물리적 식각을 이용하였다. 또한 이온의 밀도를 조절하기 위해 유도결합형 플라즈마 방식을 이용하여 식각률을 높였다. 화학적 식각을 위해서는 CF4와 O2혼합 가스를 이용해 F가 Si와 결합하여 SiF4가 되어 사라지고 탄소잔여물인 C는 O2와 반응하여 제거하였다. 그 결과, 랜덤한 분포를 가지는 미세한 구조물(stochastic sub-wavelength structure)을 유리 표면에 형성할 수 있었고, 또한 다양한 가스 종류와 압력, source power와 bias power, 그리고 시간을 바꿔가며 미세 구조물들을 관찰하였다. 실험 결과, 가시광선 파장 이하의 높이를 갖고 수 마이크로미터의 너비를 갖는 구조물이 전반사되는 빛을 효율적으로 추출하는 것을 산란되는 빛의 정도인 diffusive transmittance 가 기존 0%에서 15% 정도로 증가하는 것으로 스펙트로포토미터 측정을 통해 확인하였다. 이러한 유리 기판 위 구조물 생성방법을 OLED에 적용한다면 적은 비용으로 소자의 효율을 크게 향상 시킬 수 있을 것이다. 또한 본 처리 과정의 장점은 기존의 방법에 필요한 스퍼터링이나 RTA 처리 과정이 필요 없어 공정 단가 절감과 제조 공정의 단순화로 높은 생산성을 얻을 수 있으며 대면적화에도 유리하다.

  • PDF

Morphological Evolution of GaAs(100) Surfaces during Inductively Coupled Plasma Etching at Floating Potential (Floating potential에서 유도결합 플라즈마 식각에 의한 GaAs(100) 표면의 형태 변화)

  • Lee, Sang-Ho
    • Journal of the Korean Vacuum Society
    • /
    • v.16 no.1
    • /
    • pp.15-22
    • /
    • 2007
  • We present the morphological evolution at different source powers in the ion-enhanced etching of GaAs(100) in $BCl_3-Cl_2$ plasma. With little ion bombardment at floating potential, the surface develops <110< ridges and {111} facets, as it does in purely chemical etching. The morphology develops in less than 1 minute and grows bigger over time. The etched surfaces show different morphologies at different source powers with constant pressures of gases. Lowe. source power (100 W) produces poorly developed crystallographic surfaces while higher source power (900 W) produces well developed crystallographic surfaces. This is attributed to the availability of excited reactive species(chlorine atoms) depending on source powers. With more concentration of the reactive species at higher source powers, the surface of GaAs(100) would be a surface that is expected from thermodynamics while the surface morphology would be determined by sputtering in the lack of reactive species. Statistical analysis of the surfaces, based on scaling theory, revealed two spatial exponents: one(smaller than one) is formed by atomic scale mechanisms, the other(larger than one) is formed by larger scale mechanisms which is believed to develop facets.

컷오프 탐침과 량뮤어 탐침을 이용한 Ar/CF4 유도결합 플라즈마 특성 진단

  • Son, Ui-Jeong;Kim, Yun-Gi;Wi, Seong-Seok;Kim, Dong-Hyeon;Lee, Hae-Jun;Lee, Ho-Jun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.556-556
    • /
    • 2013
  • 반도체 공정에서 널리 사용되고 있는 유도결합 플라즈마에서 전자밀도 분포특성이 컷오프탐침을 이용하여 측정되었다. 밀도측정에 일반적으로 많이 사용되고 있는 랭뮤어 탐침은 플루오르카본과 같은 반응성이 높은 가스를 사용하는 경우 탐침 끝부분이 증착 혹은 식각되거나 플라즈마전위 변동 때문에 V-I 곡선 특성이 왜곡되는 현상이 발생한다. 반면, 컷오프 탐침을 이용하는 경우 플라즈마 고유주파수를 실험적으로 결정하는데 여러 가지 제약이 있다. 본 연구에서는 두가지 측정방법의 비교를 통해 각 방법의 장단점을 실증적으로 비교하고 대면적 유도 결합플라즈마에서 전자밀도균일도를 조사하였다. 량뮤어 탐침법에서는 플라즈마와 탐침사이의 임피던스를 최소화 하는 튜닝회로의 최적화가 이루어 졌으며 컷오프 탐침에서는 안테나 구조에 따른 수신안테나의 신호전달 및 주파수특성에 대해 연구되었다.

  • PDF

III-V 화합물 반도체 Interface Passivation Layer의 원자층 식각에 관한 연구

  • Gang, Seung-Hyeon;Min, Gyeong-Seok;Kim, Jong-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.198-198
    • /
    • 2013
  • Metal-Oxide-Semiconductor (MOS)에서 사용되는 다양한 channel materials로 high electron mobility을 가지는 III-V compound semiconductor가 대두되고 있다 [1,2]. 하지만 이러한 III-V compound semiconductor는 Si에 비해 안정적인 native oxide가 부족하기 때문에 Si, Ge, Al2O3과 BeO 등과 같은 다양한 물질들의 interface passivation layers (IPLs)에 대한 연구가 많이 되고 있다. 이러한 IPLs 물질은 0.5~1.0 nm의 매우 얇은 physical thickness를 가지고 있고 또한 chemical inert하기 때문에 플라즈마 식각에 대한 연구가 되고 있지만 IPLs 식각 후 기판인 III-V compound semiconductor에 physical damage과 substrate recess를 줄이기 위해서 높은 선택비가 필요하다. 이러한 식각의 대안으로 원자층 식각이 연구되고 있으며 이러한 원자층 식각은 반응성 있는 BCl3의 adsorption과 low energy의 Ar bombardment로 desorption으로 self-limited한 one monolayer 식각을 가능하게 한다. 그러므로 본 연구에서는, III-V compound semiconductor 위에 IPLs의 adsorption과 desorption의 cyclic process를 이용한 원자층식각으로 다양한 물질인 SiO2, Al2O3 (self-limited one monolayer etch rate=about 1 ${\AA}$/cycle), BeO (self-limited one monolayer etch rate=about 0.75 ${\AA}$/cycle)를 얻었으며 그 결과 precise한 etch depth control로 minimal substrate recess 식각을 할 수 있었다.

  • PDF

Application of $CF_{4}$ plasma etching to $Ta_{0.5}Al_{0.5}$ alloy thin film ($CF_{4}$ 기체를 이용한 $Ta_{0.5}Al_{0.5}$ 합금 박막의 플라즈마 식각)

  • 신승호;장재은;나경원;이우용;김성진;정용선;전형탁;오근호
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.9 no.1
    • /
    • pp.60-63
    • /
    • 1999
  • Application of reactive ion etching (RIE) technique to Ta-Al alloy thin film with a thickness of $1000{\AA}$ was studied. $CF_{4}$ gas could be used effectively to etch the Ta-Al alloy thin film. The etching rate in the thin film with Ta content of 50 mol% was about $67{\AA}/min$. NO selectivity between the Ta-Al alloy film and $SiO_{2}$ film was observed during the etching using the $CF_{4}$ gas. The etching rate of the $SiO_{2}$ layer was 12 times faster than that of the Ta-Al alloy thin film. It was also observed that photoresist of AZ5214 was more useful than Shiepley 1400-27 in RIE with the $CF_{4}$ gas.

  • PDF

A Study on the Characterisitics of Reactive Ion Etching (Cylindrical Magnetron을 사용한 실리콘의 반응성 이온 건식식각의 특성에 관한 연구)

  • Yeom, Geun-Yeong
    • Korean Journal of Materials Research
    • /
    • v.3 no.4
    • /
    • pp.327-335
    • /
    • 1993
  • Using a RF cylindrical magnetron operated with two electromagnets having a Helmholz configuration, RF magnetron plasma properties and characteristics of reactive ion ething of Si were investigated as a function of applied magnetic field strengths using 3mTorr $CF_4/H_2$ and $CHF_3$. Also, I-V characteristics of Schottky diodes, which were made of silicons etched under different applied magnetic field strengths and gas environments, were measured to investigate the degree of radiation damage during the reactive ion etching. As the magnetic field strent;th increased, ion densities and radical densities of the plasmas were increased linearly, however, the dc self-bias voltages induced on the powered electrode, where the specimen are located, were decreased exponentially. Maximum etch rates, which were 5 times faster than that etched without applied magnetic filed, were obtained using near lOOGauss, and, under these conditions, little or no radiation damages on the etched silicons were found.

  • PDF