Browse > Article
http://dx.doi.org/10.6117/kmeps.2015.22.2.005

Thermal Management on 3D Stacked IC  

Kim, Sungdong (Dept. of Mechanical System Design, Seoul National University of Science and Technology)
Publication Information
Journal of the Microelectronics and Packaging Society / v.22, no.2, 2015 , pp. 5-9 More about this Journal
Abstract
Thermal management becomes serious in 3D stacked IC because of higher heat flux, increased power generation, extreme hot spot, etc. In this paper, we reviewed the recent developments of thermal management for 3D stacked IC which is a promising candidate to keep Moore's law continue. According to experimental and numerical simulation results, Cu TSV affected heat dissipation in a thin chip due to its high thermal conductivity and could be used as an efficient heat dissipation path. Other parameters like bumps, gap filling material also had effects on heat transfer between stacked ICs. Thermal aware circuit design was briefly discussed as well.
Keywords
TSV; 3D IC; thermal via; thermal management;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 K. Hummler, B. Sapp, J. R. Lloyd, S. Kruger, S. Olson, S. B. Park, B. Murray, D. Jung, S. Cain, A. Park, D. Ferrone and I. Ali, "TSV and Cu-Cu Direct Bond Wafer and Package-Level Reliability" 2013 IEEE 63rd Electronic Components and Technology Conference (ECTC), 41 (2013).
2 M. Y. Tsai, P. S. Huang, C. Y. Huang, P. C. Lin, L. Huang, M. Chang, S. Shih and J. P. Lin, "An investigation into warpages, stresses and keep-out zone in 3D through-silicon-via DRAM packages", Microelectronics Reliability, 54(12), 2898 (2014).   DOI
3 D. Liu and S. Park, "Three-Dimensional and 2.5 Dimensional Interconnection Technology: State of the Art", Journal of Electronic Packaging, 136(1), 014001 (2014).   DOI
4 Y. H. Cho, S. E. Kim and S. Kim, "Wafer Level Bonding Technology for 3D Stacked IC", J. Microelectron. Packag. Soc., 20(1), 7 (2013).   DOI
5 J. Jeong, S. Jang, W. Choi, Y. Kim and K. Chun, "Thermal structure design for enhanced heat spreading in 3D ICs", 2013 IEEE TENCON Spring Conference, 544 (2013).
6 J. H. Lau and T. G. Yue, "Effects of TSVs (through-silicon vias) on thermal performances of 3D IC integration systemin- package (SiP)", Microelectronics Reliability, 52(11), 2660 (2012).   DOI   ScienceOn
7 D. J. Frank, "Power-constrained CMOS scaling limits", IBM Journal of Research and Development, 46(2), 235 (2002).   DOI
8 Y. Shin, S. E. Kim and S. Kim, "Analysis of Thermal Effects of Through Silicon Via in 3D IC using Infrared Microscopy", IITC/MAM Conference 2015
9 J. Ma, S. E. Kim and S. Kim, "The Effects of Cu TSV on the Thermal Conduction in 3D Stacked IC", J. Microelectron. Packag. Soc., 21(3), 1 (2014).   DOI
10 S. Cho, Y. Sato, V. Sundaram, Y. Joshi and R. Tummala, "Experimental demonstration of the effect of copper TPVs (Through package vias) on thermal performance of glass interposers", 2014 Electronic Components & Technology Conference, 1247. (2014).
11 B. Sung, "Thermal enhancement of stacked dies using thermal vias", Master thesis, the university of Texas Arlington, (2006).
12 G. Wielgoszewski, G. Jozwiak, M. Babij, T. Baraniecki, R. Geer and T. Gotszalk, "Investigation of thermal effects in through-silicon vias using scanning thermal microscopy", Micron, 66, 63 (2014).   DOI
13 K. Yamada, T. Matsuda, H. Iwata, T. Hatakeyama, M. Ishizuka and T. Ohzone, "Analysis of temperature distribution in stacked IC with a thermal simulation and a specially designed test structure", International Conference on Electronics Packaging (ICEP), 724 (2014).
14 L. Choobineh, T. Uehling, N. Vo and A. Jain, "Experimental Measurement of the Thermal Performance of a Two-Die 3D Integrated Circuit (3D IC)", Asme 2013 InterPACK2013, 1 (2013).
15 H. Oprins, V. O. Cherman, B. Vandevelde, G. Van der Plas, P. Marchal and E. Beyne, "Numerical and experimental characterization of the thermal behavior of a packaged DRAMon- logic stack" IEEE 62nd Electronic Components and Technology Conference (ECTC), 1081 (2012).
16 K. Weide-Zaage, A. Moujbani and J. Kludt, "Simulation in 3D integration and TSV", 2014 IEEE 5th Latin American Symposium on Circuits and Systems (LASCAS), 1 (2014).
17 Y. Pi, H. Sun, J. Huang, W. Wang, J. Chen, Y. Jin and B. Cao, "Preliminary validation of entransy-based thermal management for 3D IC", 14th International Conference on Electronic Packaging Technology (ICEPT), 535 (2013).
18 A. Fourmigue, G. Beltrame and G. Nicolescu, "Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias", Design, Automation and Test in Europe Conference and Exhibition (DATE), 1 (2014).
19 L. Choobineh and A. Jain, "An explicit analytical model for rapid computation of temperature field in a three-dimensional integrated circuit (3D IC)", International Journal of Thermal Sciences, 87(C), 103 (2015).   DOI
20 J. S. Lan and M. L. Wu, "An analytical model for thermal failure analysis of 3D IC packaging", 15th international conference on Thermal, mechanical and multi-physics simulation and experiments in microelectronics and microsystems (eurosime), 1 (2014).
21 S. Melamed, F. Imura, M. Aoyagi, H. Nakagawa, K. Kikuchi, M. Hagimoto and Y. Matsumoto, "Method for back-annotating per-transistor power values onto 3D IC layouts to enable detailed thermal analysis", 2014 International Conference on Electronics Packaging (ICEP), 239 (2014).