Browse > Article
http://dx.doi.org/10.5573/JSTS.2014.14.4.443

Design Optimization of Hybrid-Integrated 20-Gb/s Optical Receivers  

Jung, Hyun-Yong (Dept. of Electrical and Electronic Engineering, Yonsei University)
Youn, Jin-Sung (Dept. of Electrical and Electronic Engineering, Yonsei University)
Choi, Woo-Young (Dept. of Electrical and Electronic Engineering, Yonsei University)
Publication Information
JSTS:Journal of Semiconductor Technology and Science / v.14, no.4, 2014 , pp. 443-450 More about this Journal
Abstract
This paper presents a 20-Gb/s optical receiver circuit fabricated with standard 65-nm CMOS technology. Our receiver circuits are designed with consideration for parasitic inductance and capacitance due to bonding wires connecting the photodetector and the circuit realized separately. Such parasitic inductance and capacitance usually disturb the high-speed performance but, with careful circuit design, we achieve optimized wide and flat response. The receiver circuit is composed of a transimpedance amplifier (TIA) with a DC-balancing buffer, a post amplifier (PA), and an output buffer. The TIA is designed in the shunt-feedback configuration with inductive peaking. The PA is composed of a 6-stage differential amplifier having interleaved active feedback. The receiver circuit is mounted on a FR4 PCB and wire-bonded to an equivalent circuit that emulates a photodetector. The measured transimpedance gain and 3-dB bandwidth of our optical receiver circuit is 84 $dB{\Omega}$ and 12 GHz, respectively. 20-Gb/s $2^{31}-1$ electrical pseudo-random bit sequence data are successfully received with the bit-error rate less than $10^{-12}$. The receiver circuit has chip area of $0.5mm{\times}0.44mm$ and it consumes excluding the output buffer 84 mW with 1.2-V supply voltage.
Keywords
Bonding wire; CMOS; hybrid integration; optical receiver circuit;
Citations & Related Records
연도 인용수 순위
  • Reference
1 T. Takemoto, F. Yuki, H. Yamashita, Y. Lee, T. Saito, S. Tsuji, and S. Nishimura, "A compact 4 x 25-Gb/s 2.0 mW/Gb/s CMOS-based optical receiver for board-to-board interconnects," IEEE J. Lightwave Tech., vol. 28, no.23, pp. 3343-3350, Dec. 2010.
2 J.-D. Jin and S. S. H. Hsu, "A 40 Gb/s transimpedance amplifier in 0.18-${\mu}m$ CMOS technology," IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1449-1457, Jun. 2008.   DOI   ScienceOn
3 J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rucker, and W.-Y. Choi, "SNR characteristics of 850-nm OEIC receiver with a silicon avalanche photodetector," Opt. Express, vol. 22, no. 1, pp. 900-907, Jan. 2014.   DOI   ScienceOn
4 W.-Z. Chen, Y.-L. Cheng, and D.-S. Lin, "A 1.8-V 10-Gb/s fully integrated CMOS optical receiver analog front-end," IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 548-552, Jun. 2005.   DOI   ScienceOn
5 T. Takemoto, H. Yamashita, T. Yazaki, N. Chunjo, Y. Lee, and Y. Matsuoka, "A 4 x 25-to-28Gb/s 4.9mW/Gb/s -9.7dBm high sensitivity optical receiver based on 65nm CMOS for board-to-board interconnects," in Proceedings of IEEE International Solid-State Circuits Conference, pp. 118-120, 2013.
6 J.-S. Youn, M.-J. Lee, K.-Y. Park, and W.-Y. Choi, "10-Gb/s 850-nm CMOS OEIC receiver with a silicon avalanche photodetector," IEEE J. Quantum Electron., vol. 48, no. 2, pp. 229-236, Feb. 2012.   DOI   ScienceOn
7 Y. Dong, and K. W. Martin, "A high-speed fullyintegrated POF receiver with large-area photo detectors in 65 nm CMOS," IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2080-2092, Sep. 2012.   DOI   ScienceOn
8 S. J. Koester, C. L. Schow, G. Dehlingher, J. D. Schaub, F. E. Doany, R. A. John, "Ge-on-SOIdetector/ Si-CMOS-amplifier receivers for highperfomance optical-communication applications," J. Lightw. Technol., vol. 25, no. 1, pp. 46-57, Jan. 2007.   DOI   ScienceOn
9 J. Lu, H. Jia, X. Wang, K. Padmanabhan, W. G. Hurley, and Z. J. Shen, "Modeling, design, and characterization of multiturn bondwire inductors with ferrite epoxy glob cores for power supply system-on-chip or system-in-package applications," IEEE Trans. Power Electron., vol. 25, no. 8, pp. 2010-2017, Aug. 2010.   DOI   ScienceOn
10 A. S. Sedra, K. C. Smith, Microelectronic circuits, 4th ed. New York: Oxford, ch. 11, 1998.
11 C. Kromer, G. Sialm, T. Morf, M. L. Schmatz, F. Ellinger, D. Erni, and H. Jackel, "A low-power 20-GHz 52-dB$\Omega$ transimpedance amplifier in 80-nm CMOS," IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 885-894, Jun. 2004.   DOI   ScienceOn
12 J. Han, B. Choi, M. Seo, J. Yun, D. Lee, T. Kim, Y. Eo, and S. M. Park, "A 20-Gb/s transformer-based current-mode optical receiver in 0.13-${\mu}m$ CMOS," IEEE Trans. Circuits Syst. II, vol. 57, no. 5, pp. 348-352, May 2010.   DOI   ScienceOn