Browse > Article
http://dx.doi.org/10.5573/JSTS.2013.13.4.303

Multi-channel 5Gb/s/ch SERDES with Emphasis on Integrated Novel Clocking Strategies  

Zhang, Changchun (Nanjing Research Institute of Electronics Technology)
Li, Ming (Nanjing Research Institute of Electronics Technology)
Wang, Zhigong (Institute of RF- & OE-ICs, Southeast University)
Yin, Kuiying (Nanjing Research Institute of Electronics Technology)
Deng, Qing (Nanjing Research Institute of Electronics Technology)
Guo, Yufeng (Nanjing University of Posts and Telecommunications)
Cao, Zhengjun (Nanjing Research Institute of Electronics Technology)
Liu, Leilei (Nanjing University of Posts and Telecommunications)
Publication Information
JSTS:Journal of Semiconductor Technology and Science / v.13, no.4, 2013 , pp. 303-317 More about this Journal
Abstract
Two novel clocking strategies for a high-speed multi-channel serializer-deserializer (SERDES) are proposed in this paper. Both of the clocking strategies are based on groups, which facilitate flexibility and expansibility of the SERDES. One clocking strategy is applicable to moderate parallel I/O cases, such as high density, short distance, consistent media, high temperature variation, which is used for the serializer array. Each group within the strategy consists of a full-rate phase-locked loop (PLL), a full-rate delay-locked loop (DLL), and two fixed phase alignment (FPA) techniques. The other is applicable to more awful I/O cases such as higher speed, longer distance, inconsistent media, serious crosstalk, which is used for the deserializer array. Each group within the strategy is composed of a PLL and two DLLs. Moreover, a half-rate version is chosen to realize the desired function of 1:2 deserializer. Based on the proposed clocking strategies, two representative ICs for each group of SERDES are designed and fabricated in a standard $0.18{\mu}m$ CMOS technology. Measurement results indicate that the two SERDES ICs can work properly accompanied with their corresponding clocking strategies.
Keywords
SERDES; clocking strategy; phase-locked loop; delay-locked loop; fixed phase alignment;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Muller P, Leblebici Y. CMOS multichannel singlechip receivers for multi-gigabit optical data communications [M]. New York: Springer, 2007
2 Rabaey J M, Chandrakasan A, Nikolic B. Digital integrated circuits: a design perspective (second edition) [M]. London: Prentice Hall, 2003
3 Tao H, Shaeffer D K, Xu M, et al. 40-43-Gb/s OC- 768 16:1 MUX/CMU chipset with SFI-5 compliance [J]. IEEE Journal of Solid-State Circuits, 2003, 38(12): 2169-2180   DOI   ScienceOn
4 Kushiyama N, Ohshima S, Stark D, et al. A 500- Megabyte/s data-rate 4.5M DRAM [J]. IEEE Journal of Solid-State Circuits, 1993, 28(4): 490-498   DOI   ScienceOn
5 Lau B, Chan Y F, Moncayo A, et al. A 2.6-GByte/s multipurpose chip-to-chip interface [J]. IEEE Journal of Solid-State Circuits, 1998, 33 (11): 1617-1626   DOI   ScienceOn
6 Toshiro T, Takashi M, Yuji S, et al. 110-GB/s Simultaneous Bidirectional Transceiver Logic Synchronized with a System Clock [J]. IEEE Journal of Solid-State Circuits, 1999, 34(11): 1526- 1533   DOI   ScienceOn
7 Kim K, Choi J, Choi J. Design of 250Mb/s 10- channel CMOS optical receiver array for computer communication [C]. The first IEEE Asia Pacific Conference on ASICs, AP-ASIC'99, 1999: 29-32
8 Tang W, Plant D V. A 2.5-Gbps De-Skew Chip for Very Short Reach (VSR) Interconnects [C]. IEEE, LEOS, 2006: 823-824
9 Yeung E. Design of High-Performance and Low- Cost Parallel Links [D]: [A dissertation for Ph.D]. Stanford University, 2002
10 Chang K, Pamarti S, Kaviant K, et al. Clocking and circuit design for a parallel I/O on a first-generation CELL Processor [C]. IEEE ISSCC, 2005: 526-615
11 Sato T, Nishio Y, Sugano T, et al. A 5-GByte/s data-transfer scheme with bit-to-bit skew control for synchronous DRAM [J]. IEEE Journal of Solid- State Circuits, 1999, 34(5): 653-660   DOI   ScienceOn
12 Kromer C, Sialm G, Menolfi C, et al. A 25-Gb/s CDR in 90-nm CMOS for high-density interconnects [J]. IEEE Journal of Solid-State Circuits, 41(12): 2921-2929
13 Razavi B. Design of integrated circuits for optical communications [M]. New York: McGraw -Hill, 2003: 197-200
14 Takauchi H. A CMOS multichannel 10-Gb/s transceiver [J]. IEEE Journal of Solid-State Circuits, 2003, 38(12): 2094-2100   DOI   ScienceOn
15 Lee H R, Hwang M S, Lee B J, et al. A 1.2-V-only 900-mW 10 Gb Ethernet transceiver and XAUI Interface with robust VCO tuning technique [J]. IEEE Journal of Solid-State Circuits, 2005, 40(11): 2148-2158   DOI   ScienceOn
16 Ishihara N, Fujita S, Togashi M, et al. 3.5-Gb/s${\times}$4- Ch Si bipolar LSI's for optical Interconnections [J]. IEEE Journal of Solid-State Circuits, 1995, 30(12): 1493-1501   DOI   ScienceOn
17 Fukaishi M, Nakamura K, Heiuchi H, et al. A 20- Gb/s CMOS multichannel transmitter and receiver chip set for ultra-high-resolution digital displays [J]. IEEE Journal of Solid-State Circuits, 2000, 35(11):1611-1618   DOI   ScienceOn
18 Tanaka K, Fukaishi M, Takeuchi M, et al. A 100Gb/s transceiver with GND-VDD commonmode receiver and flexible multi-channel aligner [C]. IEEE Int. Solid-State Circuits Conf. 2002, (2): 264-265
19 Landman P, Yee A L, Gu R, et al. A 62Gb/s backplane interconnect ASIC based on 3.1Gb/s serial-link technology [C]. IEEE ISSCC Dig. Tech. Papers, 2002, (2): 52-53
20 Miki Y. A 50-mW/ch 2.5/Gb/s/ch data recovery circuit for SFI-5 interface with digital eye-tracking [J]. IEEE Journal of Solid-State Circuits, 2004, 39(4): 613-621   DOI   ScienceOn
21 Zhang D, Jin X, Cheung E, et al. A quad 3.125 Gb/s/channel transceiver with analog phase rotators [C]. IEEE ISSCC Dig. Tech. Papers, 2002, (2):70-71
22 Yang F, O'Neill J H, Inglis D, et al. A CMOS lowpower multiple 2.5-3.125-Gb/s serial link macrocell for high IO bandwidth network ICs [J]. IEEE Journal of Solid-State Circuits, 2002, 37(12): 1813- 1821   DOI   ScienceOn
23 Yang F, O'Nerill J, Larsson P, et al. A 1.5V 86mW/ch 8-Channel 622-3125Mb/s/ch CMOS SerDes Macrocell with selectable Mux/Demux Ratio [C]. IEEE ISSCC, Dig. Tech. Papers, 2002, 1: 68-69
24 Moon Y. A quad 0.6/3.2 Gb/s/channel interferencefree CMOS transceiver for backplane serial link [J]. IEEE Journal Solid-State Circuits, 2004, 39(5): 795-803   DOI   ScienceOn
25 Kaeriyama S, Mizuno M. A 10 Gb/s/ch 50 mW 120${\times}$$120{\mu}m^{2}$ clock and data recovery circuit [C]. IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, 2003, (2): 70-71
26 Pottbäcker A, Langman U, Schreiber H U. A Si bipolar phase and frequency detector IC for clock extraction up to 8 Gb/s [J]. IEEE Journal of Solid- State Circuits, 1992, 27(12): 1747-1751   DOI   ScienceOn
27 Lee K H, Kim S J, Ahn G J, et al. A CMOS serial link for fully deplexed data communication [J]. IEEE Journal of Solid-State Circuits, 1995, 30(4): 353-364   DOI   ScienceOn
28 Kim S, Lee K, Jeong D K, et al. An 800 Mbps multi-channel CMOS serial link with 3${\times}$ oversampling [C]. Proc. IEEE Custom Integrated Circuits Conf., 1995, (5): 451-454
29 Alioto M, Palumbo G. Model and Design of Bipolar and MOS Current-mode Logic: CML, ECL and SCL Digital Circuits [M]. The Netherlands:Springer, 2005
30 Rein H M, Moller M. Design considerations for very-high-speed Si bipolar IC's operating up to 50 Gb/s [J]. IEEE Journal of Solid-State Circuits, 1996, 31(8):1076-1090   DOI   ScienceOn
31 Savoj J, Razavi B. A 10-Gb/s CMOS clock and data recovery circuit with a half-rate binary phase/frequency detector [J]. IEEE Journal of Solid-State Circuits, 2003, 38 (1): 13-21   DOI   ScienceOn
32 Kohtaroh G, Hideki T, Hirotaka T. A 2-byte parallel 1.25 Gb/s interconnect I/O interface with self-configurable link and plesiochronous clocking [J]. FUJITSU Sci. Tech., 2000, 36(1): 82-90
33 David G M. Synchronization in digital system design [J]. IEEE Journal of Selected Areas in Communications, 1990, 8(8):1404-1419   DOI   ScienceOn
34 Yang K, Lin T, Ke Y. A scalable 32Gb/s parallel data transceiver with on-chip timing calibration circuits [C]. IEEE ISSCC Dig. Tech. Papers, 2000 (2): 258-259
35 Casper B, Jaussi J, Mahony F, et al. A 20Gb/s forwarded clock transceiver in 90nm CMOS [C]. ISSCC Dig, Tech. Papers, 2006: 90-1
36 Casper B, Martin A, Jaussi J E, et al. An 8-Gb/s simultaneous bidirectional link with on-die waveform capture [J]. IEEE Journal of Solid-State Circuits, 2003, 38(12): 2111-2120   DOI   ScienceOn
37 Tanahashi T, Kurisu K, Yamaguchi H, et al. A 2Gb/s 21CH low-latency transceiver circuit for inter-processor communication [C]. IEEE ISSCC Dig. Tech., Papers, 2001, (2): 60-61
38 Hossain M, Chan A. CMOS Oscillators for clock distribution and Injection-Locked Deskew [J]. IEEE Journal of Solid-State Circuits, 2009, 44(8): 2138-2153   DOI   ScienceOn
39 Higashi H, Masaki S, Kibune M, et al. A 5-6.4- Gb/s 12-channel transceiver with pre-emphasis and equalization [J]. IEEE Journal of Solid-State Circuits, 2005, 40(4): 978-985   DOI   ScienceOn
40 Kim J. A four-channel 3.125-Gb/s/ch CMOS serial/link transceiver with a mixed/mode adaptive equalizer [J]. IEEE Journal of Solid-State Circuits, 2005, 40(2): 462-471   DOI   ScienceOn