DOI QR코드

DOI QR Code

Impact Analysis of NBTI/PBTI on SRAM VMIN and Design Techniques for Improved SRAM VMIN

  • 투고 : 2012.04.25
  • 심사 : 2012.09.04
  • 발행 : 2013.04.30

초록

Negative bias temperature instability (NBTI) and positive bias temperature instability (PBTI) are critical circuit reliability issues in highly scaled CMOS technologies. In this paper, we analyze the impacts of NBTI and PBTI on SRAM $V_{MIN}$, and present a design solution for mitigating the impact of NBTI and PBTI on SRAM $V_{MIN}$. Two different types of SRAM $V_{MIN}$ (SNM-limited $V_{MIN}$ and time-limited $V_{MIN}$) are explained. Simulation results show that SNM-limited $V_{MIN}$ is more sensitive to NBTI while time-limited $V_{MIN}$ is more prone to suffer from PBTI effect. The proposed NBTI/PBTI-aware control of wordline pulse width and woldline voltage improves cell stability, and mitigates the $V_{MIN}$ degradation induced by NBTI/PBTI.

키워드

참고문헌

  1. S. Zafar, A. Kumar, E.Gusev, and E. Cartier, "Threshold voltage instability in high-k gate dielectric stacks," IEEE Trans. Device and Materials Reliability, vol. 5, no. 1, pp.45-64, Mar. 2004.
  2. S. Zafar, et al., "A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates," in Proc. IEEE Symp. VLSI Technology, pp. 23-25, 2006.
  3. A. Haggag, G. Anderson, S. Parihar, D. Burnett, et al., "Understanding SRAM High-Temperature- Operating-Life NBTI: Statistics and Permanent vs Recoverable Damage," in Proc. IEEE International Reliability Physics Symposium, pp. 452-456, Apr. 2007.
  4. A. Carlson, "Mechanism of Increase in SRAM Vmin Due to Negative-Bias Temperature Instability," IEEE Trans. on Device and Materials Reliability, vol. 7, no. 7, pp. 473-478, Sept. 2007. https://doi.org/10.1109/TDMR.2007.907409
  5. R. Kapre, K. Shakeri, H. Puchner, J. Tandigan, et al., "SRAM Variability and Supply Voltage Scaling Challenges," in Proc. IEEE International Reliability Physics Symposium, pp. 23-28, Apr. 2007.
  6. T. Kim, W. Zhang, and C. Kim, "An SRAM Reliability Test Macro for Fully-Automated Statistical Measurements of Vmin Degradation," in Proc. IEEE Custom Integrated Circuits Conference, pp. 231-234, Sept. 2009.
  7. X. Li, J. Qin, B. Huang, X. Zhang, and J. B. Bernstein, "SRAM circuit-failure modeling and reliability simulation with SPICE," IEEE Trans. on Device and Materials Reliability, vol. 6, no. 2, pp. 235-246, June 2006. https://doi.org/10.1109/TDMR.2006.876568
  8. A. Bansal, R. Rao, J. J. Kim, S. Zafar, J. H. Stathis, and C. T. Chuang, "Impact of NBTI and PBTI in SRAM bit-cells: Relative sensitivities and guidelines for application-specific target stability/performance," in Proc. IEEE International Reliabiilty Physics Symp., pp. 745-749, 2009.
  9. S. R. Sridhara, M. DiRenzo, S. Lingam, S. J. Lee, R. Blazquez, J. Maxey, et al., "Microwatt Embedded Processor Platform for Medical Systemon- Chip Applications," IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 721-730, 2011 https://doi.org/10.1109/JSSC.2011.2108910
  10. Y. Pu, J. Pineda de Gyvez, H. Corporaal, and Y. Ha, "An Ultra-Low-Energy Multi-Standard JPEG Co- Processor in 65 nm CMOS With Sub/Near Threshold Supply Voltage," IEEE J. Solid-State Circuits, vol. 45, no. 3, pp. 668-680, 2010 https://doi.org/10.1109/JSSC.2009.2039684
  11. V. Huard, M. Denais, "Hole Trapping Effect on Methodology for DC and AC Negative Bias Temperature Instability Measurements in PMOS Transistors," in Proc. IEEE International Reliability Physics Symposium, pp. 40-45, Apr. 2004
  12. M. Denais, V. Huard, C. Parthasarathy, et al., "New Perspectives on NBTI in Advanced Technologies: Modeling & Characterization," in Proc. IEEE European Solid-State Device Research Conference, pp. 399-402, Sept. 2005.
  13. R. Vattikonda, W. Wang, Y. Cao, "Modeling and Minimization of PMOS NBTI Effect for Robust Nanometer Design," in Proc. IEEE Design Automation Conference, pp. 1047-1052, July 2006.
  14. M. Ershov, R. Lindley, S. Saxena, et al., "Transient Effects and Characterization Methodology of Negative Bias Temperature Instability in PMOS Transistors," in Proc. IEEE International Reliability Physics Symposium, pp. 606-607, Apr. 2003.
  15. T. Grasser, B. Kaczer, "Evidence that Two Tightly Coupled Mechanisms are Responsible for Negative Bias Temperature Instability in Oxynitride MOSFETs," IEEE Transactions on Electron Devices, vol. 56, no. 5, pp. 1056-1062, May 2009. https://doi.org/10.1109/TED.2009.2015160
  16. Z. Chen, K. Hess, J. Lee, et al., "On the Mechanism for Interface Trap Generation in MOS Transistors Due to Channel Hot Carrier Stressing," IEEE Electron Device Letters, vol. 21, no. 1, pp. 24-26, 2000. https://doi.org/10.1109/55.817441
  17. A. Krishnan, P. Nicollian, "Analytical Extension of the Cell-Based Oxide Breakdown Model to Full Percolation and its Implications," in Proc. IEEE International Reliability Physics Symposium, pp. 232-239, Apr. 2007.
  18. R. Degraeve, G. Groeseneken, R. Bellens, et al., "New Insights in the Relation Between Electron Trap Generation and the Statistical Properties of Oxide Breakdown," IEEE Transactions on Electron Devices, pp. 904-911, vol. 45, no. 4, 1998. https://doi.org/10.1109/16.662800
  19. J. Keane, T. Kim, X. Wang, and C. Kim, "On-Chip Reliability Monitors for Measuring Circuit Degradation," Microelectronics Reliability Journal, vol. 50, pp. 1039-1053, Aug. 2010. https://doi.org/10.1016/j.microrel.2010.04.024
  20. T. Kim and Z. Kong, "Impacts of NBTI/PBTI on SRAM VMIN and Design Techniques for SRAM VMIN Improvement", IEEE International SoC Design Conference, pp. 163-166, Nov. 2011
  21. A. Raychowdhury, B. Geuskens, J. Kulkarni, et al., "PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction", in Proc. IEEE International Solid-State Circuits Conference, pp. 352-353, Feb. 2010

피인용 문헌

  1. Adaptive Technique for Overcoming Performance Degradation Due to Aging on 6T SRAM Cells vol.14, pp.4, 2014, https://doi.org/10.1109/TDMR.2014.2360779
  2. Comprehensive Circuit Failure Prediction for Logic and SRAM Using Virtual Aging vol.35, pp.6, 2015, https://doi.org/10.1109/MM.2015.136
  3. STABLE: Stress-Aware Boolean Matching to Mitigate BTI-Induced SNM Reduction in SRAM-Based FPGAs vol.67, pp.1, 2018, https://doi.org/10.1109/TC.2017.2725952