DOI QR코드

DOI QR Code

Dynamic Voltage and Frequency Scaling based on Buffer Memory Access Information

버퍼 메모리 접근 정보를 활용한 동적 전압 주파수 변환 기법

  • 곽종욱 (영남대학교 컴퓨터공학과) ;
  • 김주환 (서울대학교 전기컴퓨터공학과)
  • Received : 2010.02.02
  • Accepted : 2010.03.18
  • Published : 2010.03.31

Abstract

As processor platforms are continuously moving toward wireless mobile systems, embedded mobile processors are expected to perform more and more powerful, and therefore the development of an efficient power management algorithm for these battery-operated mobile and handheld systems has become a critical challenge. It is well known that a memory system is a main performance limiter in the processor point of view. Although many DVFS studies have been considered for the efficient utilization of limited battery resources, recent works do not explicitly show the interaction between the processor and the memory. In this research, to properly reflect short/long-term memory access patterns of the embedded workloads in wireless mobile processors, we propose a memory buffer utilization as a new index of DVFS level prediction. The simulation results show that our solution provides 5.86% energy saving compared to the existing DVFS policy in case of memory intensive applications, and it provides 3.60% energy saving on average.

프로세서 플랫폼이 무선의 모바일 시스템으로 변화하면서 내장형 모바일 프로세서들의 성능은 계속적으로 향상 되었으며 기능은 보다 더 강력해 지고 있다. 무선의 휴대용 장비들은 유선 장비에 비해 휴대용 전원에 의한 제한된 전력을 공급받기 때문에, 이러한 시스템들에 대한 효율적 에너지 관리 기술의 중요성은 점차 증가하고 있다. 한편, 메모리 시스템은 프로세서 관점에서 시스템 전체의 성능을저하 시키는 주된 요소 가운데 하나이다. 비록 휴대용 전원의 효과적 활용을 위한 DVFS 기법과 관련된 많은 연구들이 존재하지만, 프로세서와 메모리 사이의 상호 관계에 대한 최근의 연구는 부족한 실정이다. 본 연구에서는 무선의 모바일 장치들에서 활용되는 내장형 응용 프로그램의 장단기 메모리 접근 특성을 반영하기 위한 새로운 DVFS 레벨 예측 알고리즘을 소개한다. 모의 실험 결과 본 논문에서 제시하는 DVFS 정책은 메모리 접근이 많은 벤치마크 프로그램의 경우 5.86%의 소비 에너지 감소 효과를 보여주고 있으며, 평균적으로는 3.60%의 소비 에너지 감소 효과를 보여주고 있다.

Keywords

References

  1. Patterson, D.A., and Hennessy, J.L. "Computer architecture: a quantitative approach," Morgan Kaufman, 4th Edition, 2007.
  2. Gaurav Dhiman, Tajana Simunic Rosing, "Dynamic Voltage Frequency Scaling for Multi-Tasking Systems Using Online Learning," Proceedings of the 2007 International Symposium on Low Power Electronics and Design, ISLPED '07, Portland, OR, USA, August 27 - 29, 2007.
  3. Funaoka K., Takeda, A. et al., "Dynamic voltage and frequency scaling for optimal real-time scheduling on multiprocessors," Proceedings of International Symposium on Industrial Embedded Systems, pp. 27-33, 2008
  4. David C. Snowdon, Stefan M. Petters, and Gernot Heiser, "Accurate On-Line Prediction of Processor and Memory Energy Usage Under Voltage Scaling," Proceedings of the 7th ACM & IEEE international conference on Embedded software 2007, Salzburg, Austria, September 30 - October 3, 2007.
  5. Sebastian Herbert, Diana Marculescu, "Analysis of Dynamic Voltage/Frequency Scaling in Chip-Multiprocessor," Proceedings of the 2007 International Symposium on Low Power Electronics and Design ISLPED '07, Portland, OR, USA, August 27 - 29, 2007.
  6. S. Herbert and D. Marculescu, "Analysis of dynamic voltage/frequency scaling in chip-multiprocessors," Proceedings of the international symposium on low power electronics and design, pp. 38-43, 2007
  7. Intel XScale Core Developer's Manual (Available : http://www.intel.com/design/intelxscale/273473.htm)
  8. Kihwan Choi, Soma, R. and Pedram, M., "Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Tradeoff Based on the Ratio of Off-Chip Access to On-Chip Computation Times," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, January, 2005. https://doi.org/10.1109/TCAD.2004.839485
  9. G. Dhiman and T. S. Rosing, "Dynamic voltage frequency scaling for multi-tasking systems using online learning," Proceedings of International Symposium on Low Power Electronics and Design, pp. 207-212, 2007
  10. A. Cheng and Y. Wang, "A Dynamic Voltage Scaling Algorithm for Dynamic Workloads," Journal of Signal Processign Systems, Vol. 52, No. 1, pp. 45-57, 2008 https://doi.org/10.1007/s11265-007-0098-x
  11. SimpleScalar LLC, http://www.simplescalar.com
  12. Zoltan Herczeg, Akos Kiss, Daniel Schmidt, Norbert Wehn and Tibor Gyimóthy, "XEEMU: An Improved XScale Power Simulator," Proceedings of 17th International Workshop, Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation PATMOS 2007, Gothenburg, Sweden, September 3-5, 2007.
  13. Gilberto Contreras, Margaret Martonosi, Jinzhang Peng, Guei-Yuan Lueh and Roy Ju, "The XTREM Power and Performance Simulator for the Intel XScale Core: Design and Experiences," ACM Transactions on Embedded Computing Systems, TECS, Volume 6, Issue 1, February, 2007.
  14. GCC Code-Size Benchmark Environment, (Available : http://www.inf.u-szeged.hu/csibe)