New Programmable RF DFT Circuit for Low Noise Amplifiers

LNA를 위한 새로운 프로그램 가능 고주파 검사용 설계회로

  • Ryu, Jee-Youl (Samsung SDI Co., Ltd.) ;
  • Noh, Seok-Ho (Major of Electronic Engineering, College of Electronic & Information Engineering, Andong National University)
  • 류지열 (삼성 SDI Mobile Display 개발팀) ;
  • 노석호 (안동대학교 전자공학과)
  • Published : 2007.04.25

Abstract

This paper presents a programmable RF DFT (Radio Frequency Design-for-Testability) circuit for low noise amplifiers. We have developed a new on-chip RF DFT circuit that measures RF parameters of low noise amplifier (LNA) using only DC measurements [1, 2]. This circuit is extremely useful for today's RFIC devices in a complete RF transceiver environment. The DFT circuit contains test amplifier with programmable capacitor banks and RF peak detectors. The test circuit utilizes output DC voltage measurements and these measured values are translated into the LNA specifications such as input impedance and gain using the mathematical equations. Our on-chip DFT circuit can be self programmed for 1.8GHz, 2.4GHz and 5.25GHz low noise amplifiers for GSM, Bluetooth and IEEE802.11g standards. The circuit is simple and inexpensive.

본 논문에서는 저잡음 증폭기 (LNA)를 위한 새로운 구조의 프로그램 가능한 고주파 검사용 설계회로 (RF DFT)를 제안한다. 개발된 RF DFT 회로는 DC 측정만을 이용하여 LNA의 RF 변수를 측정할 수 있으며, 최근의 RFIC 소자에 매우 유용하다. DFT 회로는 프로그램 가능한 커패시터 뱅크 (programmable capacitor banks)와 RF 피크 검출기를 가진 test amplifier를 포함하며, 측정된 출력 DC 전압을 이용하여 입력 임피던스와 전압이득과 같은 LNA 사양을 계산할 수 있다. 이러한 온 칩 DFT 회로는 GSM, Bluetooth 및 IEEE802g 표준에 이용할 수 있는 3가지 주파수 대역, 즉 1.8GHz, 2.4GHz, 5.25GHz용 LNA에서 사용할 수 있도록 자체적으로 프로그램 할 수 있다. 이 회로는 간단하면서도 저렴하다

Keywords

References

  1. J.-Y. Ryu, B.C. Kim, S.-T. Kim, and V. Varadarajan 'Novel Defect Testing of RF Front End Using Input Matching Measurement,' 9th IEEE Int. Mixed-Signal Testing Workshop, pp. 31-34, 2003
  2. J-Y. Ryu, and B.C. Kim, 'A New RF BIST for 4.5-5.5GHz Low Noise Amplifiers,' 10th IEEE International Mixed-Signal Testing Workshop, pp. 189-195, 2004
  3. D. Lupea, U. Pursche and H.-J. Jentschel, 'RF-BIST: Loopback Spectral Signature Analysis,' IEEE Proc. of the 2003 Design, Automation and Test in Europe Conference and Exhibition, pp. 478-483, 2003
  4. D. Lupea U. Pursche and H.-J. Jentschel, 'Spectral Signature Analysis-BIST for RF Front-Ends,' Advances in Radio Science, pp. 155-160, 2003
  5. J. Dabrowski, 'BiST Model for IC RF-Transceiver Front-End,' 2003 Proc. of the 18thIEEE Int. Symposium on DEFECT and FAULT TOLERANCE in VLSI SYSTEMS, pp. 295-302, 2003
  6. R. Voorakaranam, S. Cherubal and A. Chatterjee, 'A Signature Test Framework for Rapid Production Testing of RF Circuits,' Proc.of the 2002 Design, Automation and Test in Europe Conference and Exhibition, pp. 186-191, 2003 https://doi.org/10.1109/DATE.2002.998268
  7. B.R. Veillette and G.W. Roberts, 'A Built-in Self-Test Strategy for Wireless Communication Systems,' Proc. of the 1995 Int. Test Conference, pp. 930-939, 1995
  8. J.-Y. Ryu, B.C. Kim and I. Sylla, 'A New BIST Scheme for 5GHz Low Noise Amplifiers,' IEEE 9th European Test Symposium, pp. 228-233, 2004
  9. E.P. Vandamme, M.P. Schreurs, and C. van Dinther, 'Improved Three-Step De-Embedding Method to Accurately Account for the Influence of Pad Parasitics in Silicon On-Wafer RF Test-Structures,' IEEE Tran. Electronic Devices, vol. 48, no. 4, pp. 137-142, 2001 https://doi.org/10.1109/16.915712
  10. K.C. Craig, S.P. Case, R.E. Neese and C.D. DePriest, 'Current and Future Trusting in Automated RF and Microwave Testing,' IEEE Proc., pp. 183-192, 1994
  11. M. Soma, 'Challenges and Approaches in Mixed Signal RF Testing,' IEEE Proc., pp. 33-37, 1997 https://doi.org/10.1109/ASIC.1997.616973
  12. W. A. Pleskacz, D. Kasprowicz, T. Oleszczak and W. Kuzmicz, 'CMOS Standard Cells Characterization for Defect Based Testing,' IEEE Int. Symposium on DFT in VLSI Systems, pp. 384-392, 2001 https://doi.org/10.1109/DFTVS.2001.966792
  13. G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design 2nd Edition, New Jersey: Prentice Hall, 1997
  14. B. Razavi, RF Microelectronics: Prentice Hall, New Jersey, pp. 11-53, 1998
  15. Gray, Hurst, Lewis and Meyer, Analog and Design of Analog Integrated Circuits 4th Edition, New York: John Wiley & Sons, Inc., 2001
  16. B. Razavi, Design of Analog CMOS Integrated Circuits, McGraw-Hill, Inc., New York, chapter 12, 2001