Surface Reaction Modeling for Plasma Etching of SiO2 Thin Film

실리콘 산화막의 플라즈마 식각에 대한 표면반응 모델링

  • Im, YeonHo (Nanomaterials Research Center and School of Chemical Engineering and Technology, Chonbuk National University)
  • 임연호 (전북대학교 화학공학부, 나노소재공정연구센터)
  • Received : 2006.09.05
  • Accepted : 2006.09.26
  • Published : 2006.10.31

Abstract

A realistic surface model is presented for prediction of various surface phenomena such as polymer deposition, suppression and sputtering as a function of incidence ion energy in high density fluorocarbon plasmas. This model followed ion enhanced etching model using the "well-mixed" or continuous stirred tank reactor (CSTR) assumption to the surface reaction zone. In this work, we suggested ion enhanced polymer formation and decomposition mechanisms that can capture $SiO_2$ etching through a steady-state polymer film on $SiO_2$ under the suppression regime. These mechanisms were derived based on experimental data and molecular dynamic simulation results from literatures. The model coefficients are obtained from fits to available beam and plasma experimental data. In order to show validity of our model, we compared the model results to high density fluorocarbon plasma etching data.

본 연구에서는 FC(fluorocarbon) 플라즈마 반응기에서 입사하는 이온에너지에 따른 고분자 증착, 식각과 증착의 경쟁반응 및 물리적 스퍼터링 등의 여러 표면 현상들을 모델링하였다. $SiO_2$ 식각에 대한 표면반응은 식각반응 영역을 잘 혼합된 CSTR(continuous stirred tank reactor) 가정을 도입하여 이온 도움에 의한 식각으로 모사되었다. 정상상태 고분자층을 통한 식각과 증착의 경쟁반응의 모델링은 이온 도움에 의한 고분자 생성 및 분해 메커니즘을 제안하여 수행하였다. 이러한 메커니즘은 최근 발표된 실험 및 분자동력학적 전산모사 결과에 기초하였으며,모델 계수들은 빔실험 결과 및 플라즈마 실험결과들을 이용하여 구하였다. 최종 개발된 모델의 결과들은 타당성을 검증하기 위해 문헌에 보고된 실험결과들과 비교하였다.

Keywords

References

  1. Sze, S. M., 'VLSI Technology,' 2nd ed., McGraw-Hill, New York, NY(1983)
  2. Schaepkens, M. and Oehrlein, G. S., 'A Review of $SiO_{2}$ Etching Studies in Inductively Coupled Fluorocarbon Plasmas,' J. Electrochem. Soc., 148(3), C211-C221(2001) https://doi.org/10.1149/1.1348260
  3. Standaert, T. E. F. M., Hedlund, C., Joseph, E. A., Oehrlein, G. S. and Dalton, T. J., 'Role of Fluorocarbon Film Formation in the Etching of Silicon, Silicon Dioxide, Silicon Nitride, and Amorphous Hydrogenated Silicon Carbide,' J. Vac. Sci. Technol. A, 22(1), 53-60(2004) https://doi.org/10.1116/1.1626642
  4. Im, Y. H., Hahn, Y. B. and Peaton, S. J., 'A Leval Set Approach to Simulation of Etch Profile Evolution in a High Density Plasma Etching System,' J. Vac. Sci. Technol. B, 19(3), 701-710(2001) https://doi.org/10.1116/1.1370174
  5. Cho, B. O., Hwang, S. W., Kim, I. W. and Moon, S. H., 'Expression of the Si Etch Rate in a $CF_{4}$ Plasma with Four Internal Process Variables,' J. Electrochem. Soc., 146(1), 350-358(1999) https://doi.org/10.1149/1.1391612
  6. Butterbaugh, J. W., Gray, D. C. and Sawin, H. H., 'Plasma-surface Interactions in Fluorocarbon Etching of Silicon Dioxide,' J. Vac. Sci. Technol. B, 9(3), 1461-1470(1991) https://doi.org/10.1116/1.585451
  7. Chang, J. P. and Sawin, H. H., 'Molecular-beam Study of the Plasma-surface Kinetics of Silicon Dioxide and Photoresist Etching with Chlorine,' J. Vac.Sci. Technol. B, 19(4), 1319-1327(2001) https://doi.org/10.1116/1.1387452
  8. Kimura, Y., Coburn, J. W. and Graves, D. B., 'Vacuum Beam Studies of Fluorocarbon Radicals and Argon Ions on Si and $SiO_{2}$ Surfaces,' J. Vac. Sci. Technol. A, 22(6), 2508-2517(2004) https://doi.org/10.1116/1.1810166
  9. Chae, H., Vitale, S. V. and Sawin, H. H., 'Silicon Dioxide Etching Yield Measurements with Inductively Coupled Fluorocarbon Plasmas,' J. Vac. Sci. Technol. A, 21(2), 381-387(2003) https://doi.org/10.1116/1.1539085
  10. Humbird, D., Graves, D. B., Hua, X. and Oehrlein, G. S., 'Molecular Dynamics Simulations of $Ar^{+}$-induced Transport of Fluorine Through Fluorocarbon Films,' Appl. Phys. Lett., 84(7), 1073-1075 (2004) https://doi.org/10.1063/1.1644338
  11. Gogolides, E., Vauvert, P., Kokkoris, G., Turban, G. and Boudouvis, A. G., 'Etching of $SiO_{2}$ and Si in Fluorocarbon Plasmas: A Detailed Surface Model Accounting for Etching and Deposition,' J. Appl. Phys., 88(10), 5570-5584(2000) https://doi.org/10.1063/1.1311808
  12. Abraham-Shrauner, B., 'Simulaneous Multilayer Plasma Etching and Deposition of Fluorocarbon Layers on Silicon,' J. Appl. Phys., 94(8), 4776-4780(2003) https://doi.org/10.1063/1.1606857
  13. Gray, D. C., Tepermeister, I. and Sawin, H. H., 'Phenomenological Modeling of Ion-enhanced Surface Kinetics in Fluorinebased Plasma Etching,' J. Vac. Sci. Technol. B, 11(4), 1243-1257 (1993) https://doi.org/10.1116/1.586925
  14. Gray, D. C., Sawin, H. H. and Butterbaugh, J. W., 'Quantification of Surface Film Formation Effects in Fluorocarbon Plasma Etching of Polysilicon,' J. Vac. Sci. Technol. A, 9(3), 779-785(1991) https://doi.org/10.1116/1.577361
  15. Butterbaugh, J. W., Gray, D. C. and Sawin, H. H., 'Plasma-surface Interactions in Fluorocarbon Etching of Silicon Dioxide,' J. Vac. Sci. Technol. B, 9(3), 1461-1470(1991) https://doi.org/10.1116/1.585451
  16. Jin, W. and Sawin, H. H., 'Profile Evolution Simulation of Oxide Fencing during Via-First Dual Damascene Etching Process,' J. Electrochem. Soc., 150(11), G711-G717(2003) https://doi.org/10.1149/1.1616001
  17. Oehrlein, G. S., Zhang, Y., Vender, D. and Haverlag, M., 'Fluorocarbon High-density Plasmas. I. Fluorocarbon Film Deposition and Etching Using $CF_{4}$ and $CHF_{3}$,' J. Vac. Sci. Technol. A, 12(2), 323-332(1994) https://doi.org/10.1116/1.578876
  18. Schaepkens, M., Oehrlein, G. S. and Cook, J. M., 'Effect of Radio Frequency Bias Power on $SiO_{2}$ Feature Etching in Inductively Coupled Fluorocarbon Plasmas,' J. Vac. Sci. Technol. B, 18(2), 848-855(2000) https://doi.org/10.1116/1.591285