저전력 테스트 데이터 압축 개선을 위한 효과적인 기법

An Efficient Technique to Improve Compression for Low-Power Scan Test Data

  • 송재훈 (한양대학교 컴퓨터공학과) ;
  • 김두영 (한양대학교 컴퓨터공학과) ;
  • 김기태 (한양대학교 컴퓨터공학과) ;
  • 박성주 (한양대학교 전자 컴퓨터 공학과)
  • Song, Jae-Hoon (Department of Computer Science & Engineering, Hanyang University) ;
  • Kim, Doo-Young (Department of Computer Science & Engineering, Hanyang University) ;
  • Kim, Ki-Tae (Department of Computer Science & Engineering, Hanyang University) ;
  • Park, Sung-Ju (Department of Electrical Engineering Computer Science, Hanyang University)
  • 발행 : 2006.10.25

초록

오늘날 시스템 온 칩 테스트에 있어서 많은 양의 테스트 데이터, 시간 및 전력 소모는 매우 중요한 문제이다. 이러한 문제들을 해결하기 위해서 본 논문은 새로운 테스트 데이터 압축 기술을 제안한다. 우선, 테스트 큐브 집합에 있는 돈 캐어 비트에 저전력 테스트를 위한 비트할당을 한다. 그리고, 비트할당이 된 저전력 테스트 데이터의 압축효율을 높이기 위해 이웃 비트 배타적 논리합 변환을 사용하여 변환한다. 최종적으로, 변환된 테스트 데이터는 효과적으로 압축됨으로써 테스트 장비의 저장공간과 테스트 데이터 인가시간을 줄일 수 있게 된다.

The huge test data volume, test time and power consumption are major problems in system-on-a-chip testing. To tackle those problems, we propose a new test data compression technique. Initially, don't-cares in a pre-computed test cube set are assigned to reduce the test power consumption, and then, the fully specified low-power test data is transformed to improve compression efficiency by neighboring bit-wise exclusive-or (NB-XOR) scheme. Finally, the transformed test set is compressed to reduce both the test equipment storage requirements and test application time.

키워드

참고문헌

  1. P.M. Rosinger, P.T. Gonciari, B.M. Al-Hashimi, and N. Nicolici, 'Analysing trade-offs in scan power and test data compression for systems-on-a-chip', lEE Proc. Comput. Digital Tech, vol. 149, Issue 4, pp. 188-196, July 2002 https://doi.org/10.1049/ip-cdt:20020450
  2. V. Iyengar and K. Chakrabarty, 'System-on-a-chip test scheduling with precedence relationships, preemption, and power constraints', IEEE Trans. Computer-Aided Design, vol. 21, Issue 9, pp. 1088-1094, Sept. 2002 https://doi.org/10.1109/TCAD.2002.801102
  3. H. Kilic and L. Oktem, 'Low-power test pattern generator design for BIST via non-uniform ?cellular automata', in Proc. Int Symp. IEEE VLSI Design Auto. Test, pp. 212-215, 2005 https://doi.org/10.1109/VDAT.2005.1500058
  4. T. Yoshida and M. Watati, 'A new approach for low-power scan testing', in Proc. Int Test Conf., pp. 480-487, 2003 https://doi.org/10.1109/TEST.2003.1270873
  5. S. Wang and S.K. Gupta, 'An automatic test pattern generator for minimizing switching activity during scan testing activity', IEEE Trans. Computer- Aided Design, vol. 21, Issue 8, pp. 954-968, Aug. 2002 https://doi.org/10.1109/TCAD.2002.800460
  6. A. Chandra and K. Chakrabarty, 'Test data compression for system-on-a-chip using Golomb codes', in Proc. IEEE VLSI Test Symp. pp. 113-120, April-May, 2000 https://doi.org/10.1109/VTEST.2000.843834
  7. S. Youhua, N. Togawa, M. Yanagisawa, T. Ohtsuki, and S. Kimura, 'Low Power Test Compression Technique for Designs with Multiple Scan Chain,' in Proc. Asian Test Symp., pp. 386-389, 2005 https://doi.org/10.1109/ATS.2005.76
  8. A Chandra and K Chakrabarty, 'Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression', in Proc. IEEE VLSI Test Symp. pp. 42-47, 2001 https://doi.org/10.1109/VTS.2001.923416
  9. A. Jas and N. A. Touba, 'Test vector decompression via cyclical scan chains and its application to testing core-based design', in Proc. Int Test Conf., pp. 458-464, Oct. 1998 https://doi.org/10.1109/TEST.1998.743186
  10. P.T. Gonciari, B.M. Al-Hashirni, and N. Nicolici, 'Variable-length input Huffman coding for system-on-a-chip test', IEEE Trans. Computer-Aided Design, vol. 22, Issue 6, pp. 783-796, June 2003 https://doi.org/10.1109/TCAD.2003.811451
  11. A. Jas, G.D. Jayabrata, M.E. Ng, and N. A. Touba, 'An Efficient Test Vector Compression Scheme Using Selective Huffman Coding', IEEE Trans. Computer-Aided Design, vol. 22, Issue 6, pp. 797-806, June 2003 https://doi.org/10.1109/TCAD.2003.811452
  12. K.J. Balakrishnan and N. A. Touba, 'Relating entropy theory to test data compression', in Proc. IEEE Euro. Test Symp., pp. 94-99, May 2004
  13. A. Chandra and K. Chakrabarty, 'A unified approach to reduce SOC test data volume, scan power and testing time,' IEEE Trans. Computer-Aided Design, vol. 22, pp. 352-363, Mar. 2003 https://doi.org/10.1109/TCAD.2002.807895
  14. M.H. Tehranipour, M. Nourani, K. Arabi, and A. Afzali-Kusha, 'Mixed RL-Huffman encoding for power reduction and data compression in scan test', in Proc. Int. Symp. Circuits and Systems, pp. 681-684, May 2004
  15. R. Sankaralingarn, P.R. Orugranti, and N. A. Touba, 'Static compaction techniques to control scan vector power dissipation', in Proc. VLSI Test Symp., pp. 35-40, April-May 2000 https://doi.org/10.1109/VTEST.2000.843824