Browse > Article

An Efficient Technique to Improve Compression for Low-Power Scan Test Data  

Song, Jae-Hoon (Department of Computer Science & Engineering, Hanyang University)
Kim, Doo-Young (Department of Computer Science & Engineering, Hanyang University)
Kim, Ki-Tae (Department of Computer Science & Engineering, Hanyang University)
Park, Sung-Ju (Department of Electrical Engineering Computer Science, Hanyang University)
Publication Information
Abstract
The huge test data volume, test time and power consumption are major problems in system-on-a-chip testing. To tackle those problems, we propose a new test data compression technique. Initially, don't-cares in a pre-computed test cube set are assigned to reduce the test power consumption, and then, the fully specified low-power test data is transformed to improve compression efficiency by neighboring bit-wise exclusive-or (NB-XOR) scheme. Finally, the transformed test set is compressed to reduce both the test equipment storage requirements and test application time.
Keywords
test data compression; low power; scan test; soc test;
Citations & Related Records
연도 인용수 순위
  • Reference
1 R. Sankaralingarn, P.R. Orugranti, and N. A. Touba, 'Static compaction techniques to control scan vector power dissipation', in Proc. VLSI Test Symp., pp. 35-40, April-May 2000   DOI
2 M.H. Tehranipour, M. Nourani, K. Arabi, and A. Afzali-Kusha, 'Mixed RL-Huffman encoding for power reduction and data compression in scan test', in Proc. Int. Symp. Circuits and Systems, pp. 681-684, May 2004
3 K.J. Balakrishnan and N. A. Touba, 'Relating entropy theory to test data compression', in Proc. IEEE Euro. Test Symp., pp. 94-99, May 2004
4 A. Chandra and K. Chakrabarty, 'A unified approach to reduce SOC test data volume, scan power and testing time,' IEEE Trans. Computer-Aided Design, vol. 22, pp. 352-363, Mar. 2003   DOI   ScienceOn
5 A. Jas and N. A. Touba, 'Test vector decompression via cyclical scan chains and its application to testing core-based design', in Proc. Int Test Conf., pp. 458-464, Oct. 1998   DOI
6 S. Youhua, N. Togawa, M. Yanagisawa, T. Ohtsuki, and S. Kimura, 'Low Power Test Compression Technique for Designs with Multiple Scan Chain,' in Proc. Asian Test Symp., pp. 386-389, 2005   DOI
7 P.T. Gonciari, B.M. Al-Hashirni, and N. Nicolici, 'Variable-length input Huffman coding for system-on-a-chip test', IEEE Trans. Computer-Aided Design, vol. 22, Issue 6, pp. 783-796, June 2003   DOI   ScienceOn
8 A. Jas, G.D. Jayabrata, M.E. Ng, and N. A. Touba, 'An Efficient Test Vector Compression Scheme Using Selective Huffman Coding', IEEE Trans. Computer-Aided Design, vol. 22, Issue 6, pp. 797-806, June 2003   DOI   ScienceOn
9 A Chandra and K Chakrabarty, 'Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression', in Proc. IEEE VLSI Test Symp. pp. 42-47, 2001   DOI
10 A. Chandra and K. Chakrabarty, 'Test data compression for system-on-a-chip using Golomb codes', in Proc. IEEE VLSI Test Symp. pp. 113-120, April-May, 2000   DOI
11 P.M. Rosinger, P.T. Gonciari, B.M. Al-Hashimi, and N. Nicolici, 'Analysing trade-offs in scan power and test data compression for systems-on-a-chip', lEE Proc. Comput. Digital Tech, vol. 149, Issue 4, pp. 188-196, July 2002   DOI   ScienceOn
12 T. Yoshida and M. Watati, 'A new approach for low-power scan testing', in Proc. Int Test Conf., pp. 480-487, 2003   DOI
13 S. Wang and S.K. Gupta, 'An automatic test pattern generator for minimizing switching activity during scan testing activity', IEEE Trans. Computer- Aided Design, vol. 21, Issue 8, pp. 954-968, Aug. 2002   DOI   ScienceOn
14 V. Iyengar and K. Chakrabarty, 'System-on-a-chip test scheduling with precedence relationships, preemption, and power constraints', IEEE Trans. Computer-Aided Design, vol. 21, Issue 9, pp. 1088-1094, Sept. 2002   DOI   ScienceOn
15 H. Kilic and L. Oktem, 'Low-power test pattern generator design for BIST via non-uniform ?cellular automata', in Proc. Int Symp. IEEE VLSI Design Auto. Test, pp. 212-215, 2005   DOI