An Analytic Study on Estimating Delay Time in RC-class Interconnects Under Saturated Ramp Inputs

램프 입력에 대한 RC-class 연결선의 지연시간 예측을 위한 해석적 연구

  • Published : 2004.04.01

Abstract

This paper presents a simple and fast delay metric RC-class interconnects under saturated ramp inputs. The RC delay metric under saturated ramp inputs, called FDM(Fast Delay Metric), can estimate delay times at an arbitrary node using a simple closed-form expression and is extended from delay metric under step input easily As compared with similar techniques proposed in previous researches, it is shown that the FDM technique complexity for a similar accuracy. As the number of circuit nodes increases, there will be a significant difference in estimation times of RC delay between the previous techniques based on two circuit moments and the FDM which do not depend on circuit moments.

Keywords

References

  1. 김석윤, VLSI 시스템 회로 연결선의 모형화 및 해석, IDEC 교재개발시리즈 10, 시그마 프레스, 1999
  2. L. Nagel, 'SPICE2, A computer program to simulate semiconductor circuits,' Univ. California, Berkeley, CA, TR ERL-M520, May 1995
  3. The AS/X User's Guide, IBM Crop., 1996
  4. L. T. Pillage and R. A. Rohrer, 'Asymptotic Waveform Evaluation for Timing Analysis,' IEEE Trans. on CAD 9, Apr. 1990 https://doi.org/10.1109/43.45867
  5. W. C. Elmore, 'The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers,' Journal of Applied Physics 19, Jan. 1948 https://doi.org/10.1063/1.1697872
  6. A. B. Kahng and S. Muddu, 'Accurate analytical delay models for VLSI interconnects,' Univ. California, Los Angeles, CA, UCLA CS Dept. TR-950034, Sept. 1995
  7. A. B. Kahng and S. Muddu, 'An analytical delay model for RLC interconnects,' IEEE Trans. Computer-Aided Design, vol. 16, pp. 1507-1514, Dec. 1997 https://doi.org/10.1109/43.664231
  8. B. Tutuianu, F. Dartu and L. T. Pileggi, 'Explicit RC-circuit delay approximation based on the first three moments of the impulse response,' in Proc. IEEE/ACM Design Automation Conf., June 1996, pp.611-616
  9. R. Kay and L. T. Pileggi, 'PRIMO: Probability interpretation of moments for delay calculation,' Proc. IEEE/ACM Design Automation Conference, June 1998, pp.463-468
  10. T. Lin, E. Acar, and L. T. Pileggi, 'h-gamma: An RC delay metric based on a gamma distribution approximation to the homogeneous response,' in Proc. IEEE/ACM Int. Conf. Computer-Aided Design, Nov. 1998, pp.19-25
  11. C. J. Alpert, A, Devgan, and C. Kashyap, 'RC Delay Metrics for Performance Optimization,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, pp.571-582, May 2001 https://doi.org/10.1109/43.920682
  12. H. R. Kaupp, 'Waveform Degradation in VLSI Interconnections,' IEEE J. Solid-State Circuits 24, Aug. 1989, pp. 1150-1153 https://doi.org/10.1109/4.34105
  13. M. T. Abuelma'atti, 'The Waveform Degradation in VLSI Interconnections,' IEEE J. Solid-State Circuits 25, Aug. 1990, pp. 1014-1016 https://doi.org/10.1109/4.58296
  14. N. Menezes, S. Pullela, F. Dartu, and L. T. Pillage, 'RC Interconnect Synthesis - A Moment Fitting Approach,' Proc. IEEE/ACM Int. Conf. Computer-Aided Design, Nov. 1994, pp. 418-425
  15. A. B. Kahng and S. Muddu, 'Analysis of RC Interconnections Under Ramp Input', UCLA CS Dept. TR-960013, April. 2003
  16. C. V. Kashyap, C. J. Alpert, Frank Liu, and A. Devgan, 'Closed Form Expressions for Extending Step Delay and Slew Metrics to Ramp Imputs,' ACM/SIGDA 2003 International Symposium on Physical Design(ISPD'03), April. 2003 https://doi.org/10.1145/640000.640009
  17. P. R. O'Brien and T. L. Savarino, 'Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation,' Proc. IEEE/ACM Int. Conf. Computer-Aided Design, Nov. 1989, pp. 512-515 https://doi.org/10.1109/ICCAD.1989.77002
  18. 김승용, 김기영, 김석윤, 'RC-class 연결선의 축소모형을 이용한 대수적 지연시간 계산법', KIEE Trans., Vol. 52C, No. 5, May. 2003
  19. Qinwei Xu and Pinaki Mazumder, 'Efficient Macromodeling for On-chip Interconnects,' Proceedings of ASP-DAC, 2002 https://doi.org/10.1109/ASPDAC.2002.994981
  20. A. B. Kahng and S. Muddu, 'Efficient Gate Delay Modeling for Large Interconnect Loads,' IEEE Multi-Chip Module Conf., Feb. 1996 https://doi.org/10.1109/MCMC.1996.510795
  21. Jessica Qian, Satyamurthy Pullela, and Lawrence T. Pillage, 'Modeling the Effective Capacitance for the RC Interconnect of CMOS Gates,'IEEE Trans. on Computer-Aided Design of Integrated Circuits and System, vol. 13, no. 12, Dec. 1994 https://doi.org/10.1109/43.331409
  22. R. Gupta, Bogdan Tutuianu and Lawrence T. Pileggi, 'The Elmore Delay as a Bound for RC Trees with Generalized Input Signals,' ACM/IEEE Design Automation Conference, June 1995, pp. 364-369 https://doi.org/10.1145/217474.217556
  23. J. Rubinstein, P. Penfield, Jr., and M. A. Horowitz, 'Signal delay in RC tree networks,' IEEE Trans. on Computer Aided Design, vol. 2, pp.202-211, 1983 https://doi.org/10.1109/TCAD.1983.1270037
  24. C. L. Ratzlaff, N. Gopal, and L. T. Pillage, 'RICE: Rapid interconnect circuit evaluator,' Proc. IEEE/ACM Design Automation Conf., June 1991, pp. 555-560
  25. Proc. IEEE/ACM Design Automation Conf. RICE: Rapid interconnect circuit evaluator C.L.Ratzlaff;N.Gopal;L.T.Pillage