An Non-Scan DFT Scheme for RTL Circuit Datapath

RTL 회로의 데이터패스를 위한 비주사 DFT 기법

  • Published : 2004.02.01

Abstract

In this paper, An efficient non-scan DFT method for datapaths described in RTL is proposed. The proposed non-scan DFT method improves testability of datapaths based on hierarchical testability analysis regardless to width of the datapath. It always guarantees higher fault efficiency and faster test pattern generation time with little hardware overhead than previous methods. The experimental result shows the superiority of the proposed method of test pattern generation time, application time, and area overhead compared to the scan method.

본 논문에서는 레지스터 전송 수준의 데이터패스를 위한 효율적인 비주사 DFT 기법을 제안하였다. 데이터패스를 위해 제안된 비주사 DFT 기법은 레지스터 전송 수준(RTL : register transfer level) 회로에 대한 계층적 테스트 용이도(hierarchical testability) 분석을 통해 테스트 용이도를 향상시킴으로써 최소의 하드웨어 오버헤드를 가지고 데이터패스 버스 폭의 변화와 관계없이 항상 높은 고장 효율과 빠른 테스트 패턴 생성 시간을 보장한다. 실험 결과를 통하여 제안된 기법이 주사 기법보다 테스트 패턴 생성 시간, 테스트 패턴 적용 시간, 면적 오버헤드 면에서 우수함을 확인하였다.

Keywords

References

  1. R. S. Fetherson, I. P. Shak and S. C. Ma, 'Testability Features of AMD-K6TM Microprocessor,' IEEE Design & Test of Computers, pp. 64-69, 1998 https://doi.org/10.1109/54.706035
  2. D. Bhavsar, D. Akeson, M. Growan and D. Jackson, 'Testability Access of the High Speed Test Features in the Alpha 21264 Microprocessor,' International Test Conference, pp. 487-495, 1998
  3. I. Ghosh, N. K. Jha, S. Bhawmik, 'A BIST Scheme for RTL Circuits Based on Sysmbolic Testability Analysis,' IEEE Trans. on CAD, vol. 19, no.1, pp. 111-128, Jan. 2000 https://doi.org/10.1109/43.822624
  4. S. Bhattacharya, F.Brglez and S. Dey, 'Transfornations and Resynthesis for Testability of RTL Control-Data Path Specifications,' IEEE Trans. VLSI Syst., vol. 1, pp. 304-318, Sept. 1993 https://doi.org/10.1109/92.238444
  5. S. Bhattacharya, S. Dey, 'H_SCAN : A High Level Alternative to Full-Scan Testing with Reduced Area and Test Application Overheads,' in Proc. VLSI Test Symp., pp. 74-80, 1996 https://doi.org/10.1109/VTEST.1996.510838
  6. Mike Tien and Chien Lee, High-Level Test Syntheis of Dighital VLSI Cricuits, Artech House, 1997
  7. B. Norwood and J. McCluskey, 'Orthogonal SCAN : Low Overhead SCAN for Data Paths,' International Test Conference, pp. 659-668, 1996 https://doi.org/10.1109/TEST.1996.557123
  8. S. Dey, V. Gangram and M. Potkonjak, 'A Controller-Based Design-for-Testability Technique for Controller-Data Path Circuits,' International Conference on Computer-Aided Design, pp. 534-540, 1995 https://doi.org/10.1109/ICCAD.1995.480168
  9. V. Fernandez and P. Sanchez, 'High-level test synthesis based on controller redefinition,' IEE Electronics Letters, pp.1596 -1597, 1997 https://doi.org/10.1049/el:19971114
  10. S. Ohtake, S. Nagia, H. Wada and H. Fujiwara, 'A DFT Method for RTL Circuits to Achieve Complete Fault Efficiency Based on Fixed-control Testability,' Asia and South Pacific Design Automation Conference, pp. 331-334, 2001 https://doi.org/10.1145/370155.370371
  11. I. Ghosh, 'A Design-for-Testability Technique for Register-Transfer Level Circuits Using Control/Data Flow Extraction,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 706-723, 1998 https://doi.org/10.1109/43.712102
  12. I. Ghosh, A. Raghunathan and N. Jha, 'Design for Hierarchical Testability of RTL Circuits Obtained by Behavioral Synthesis,' International Conference on Computer Design : VLSI in Computers and processors, pp. 173-179, 1995